百科问答小站 logo
百科问答小站 font logo



中国光刻机明年可以达到世界较为先进的水平,开始迈入芯片强国吗? 第1页

     

user avatar   luo-jing-cheng-88 网友的相关建议: 
      

看看如下:

一个极为特殊的行业




芯片是电脑、手机、家用电器等电子产品的核心元器件,是人类社会不可思议的“超级福利”,对全球经济贡献极大。


芯片的性能一代一代地显著提升,摩尔定律一直在延续,是因为多年来在还算正常的商业流程中,全球很多公司紧密配合,才共同创造了令人惊叹的技术奇迹。以芯片为基础的整个IT业,深度分工合作效率极高,是人类社会近年来进步最快、对经济社会贡献最大的行业之一。


中国是芯片业飞速发展的受益者,也是重要贡献者。2020年全球芯片约四分之一由中国生产,还有超过一半进口到中国,用于各种电子产品中,后者又行销全球。全球消费者并不直接使用芯片,但通过中国这个中心节点,芯片的“超级福利”才扩散到全世界。


芯片业本来是技术飞速发展、推动人类社会共同进步的产业典范,近年来其商业流程却遭到一些美国政客的大肆破坏。在这种背景下,公众对芯片有了一定的认知,同时也对光刻机和国产芯片抱有很高期望。然而,公众和舆论对国产芯片的发展路径快速给出结论,或套用其他行业的经验进行分析,常常与业内人士存在认知差异。


这种认知差和预期差,很大程度上来源于公众并不了解,芯片业作为当今世界技术复杂程度最高的科技产业之一,具有极端特殊性。


芯片制造的特殊性在于,虽然人们清楚其复杂程度,但外界终究难以对这个行业的困难形成直观认识。


用常规的高科技产业评估流程来对待芯片制造业投资,就算理论知识再丰富、态度再谨慎,现实中也还是有可能陷入麻烦。高科技项目通常不会一帆风顺,总会伴随着各种问题。一般的高科技项目,就算失败,也起码能生产出一些成品。但芯片制造不同,如果仓促上马项目不能成功,不要说拿不出成品,甚至可能连生产线都凑不出来,连调试解决问题的机会都没有,这就是芯片制造的高风险性。


对于高科技项目来说,成功的关键在于齐全的产业链。然而在芯片制造领域,中国缺的恰恰是齐全的产业链,芯片已成为中国科技产业最大的短板之一。这个行业在连续11年位居世界第一制造业大国、拥有世界各国中最齐全产业链优势的中国,是一个特殊的存在。


芯片制造业的特殊性还在于,即使相关技术取得突破,相关生产环节也多达上千个,需要的技术人才是海量的。芯片业人才培养的规律,也与其他高科技项目有所不同。


2

芯片制造的特殊性




2020年,中国进口芯片超过全球产量的一半。海量进口芯片,反映的是中国芯片设计、制造技术能力与产能的严重缺失。其根源是芯片制造技术与产业链的特殊性。


公众所熟知的摩尔定律,是指芯片性能每18个月翻一倍。每18个月单位面积内晶体管数量翻倍,意味着生产技术难度与需要的投资指数级上升,并产生了一系列“逆向效应”。


随着芯片制程(晶体管栅极距离)从微米级深入到纳米级,一个芯片内晶体管数量增至上百亿个,“量变到质变”发生,绝大多数芯片制造公司已经跟不上了。高端芯片制造门槛极高,目前只剩台积电、三星、INTEL三家还在芯片制造的最前沿。2018年芯片代工巨头格罗方德已宣布放弃7nm技术研发。


在芯片制造设备上,最尖端的EUV光刻机只有荷兰的ASML有,曾经的光刻机巨头日本的尼康和佳能则研发失败。


有的人误认为中国芯片被“卡脖子”的是高端芯片制造,只要把高端光刻机搞出来,能让华为制造手机芯片,就可以突破了。


事实上,中国的整个芯片制造体系,从低端到高端,制造设备的差距都很大,多个环节需要依赖进口设备与服务。这并非EUV光刻机等个别设备的问题,而是整个自主生产线都缺乏实际生产经验。


发展国产芯片制造设备有双重难度:一是挑战物理极限的技术难度,二是难以进入业界主流得不到发展机会,后一个难度更为致命。


1个原子的直径是0.1纳米,10纳米只够排100个原子,高端芯片却要在其中安排一个晶体管。这需要原子级别的操控,微观量子效应都会出来捣乱,难度极高。而且还需要快速精准的工业生产,上亿块芯片要在合理时间内以90%以上的合格率生产出来,处理程序达上千道,配套工业体系精度要求极高。


全球业界紧密配合,形成了研发、生产、销售的正向循环,才在实际产业运行中以摩尔定律快速提升了芯片制造技术水平。然而,中国企业因历史因素一开始就未参与到循环之中,对芯片制造产业链基本没有影响力。这也是中国芯片制造技术落后的特殊背景。


近来国内业界已经形成共识,首先要打牢基础,把28nm及以下的市场占比更高的成熟制程芯片工艺吃透,构建一条28nm的自主生产线并逐步提高国产设备的比例,才是更为现实的目标。


28nm虽然被称为成熟制程,但对于国产芯片来说,也是巨大的挑战。目前国产芯片的代表还只是中芯国际、华虹集团等极为依赖进口设备的公司,且产出以中低端芯片为主。


国产设备研制成功后,切入已有生产线并调试成功的难度也不小,甚至可能得不到调试的机会,因为会担心降低良率影响经济效益。这个特性令中国的芯片制造设备发展举步维艰。几乎与业界脱节,是中国芯片自主最为困难的环节。


现阶段,国产设备需要与进口设备“混搭”切入生产线,国产设备有机会进入产业链就是进步。这是最急需补齐的短板。


3

“补短板”与“两条腿走路”




芯片制造设备现状如此严峻,国产芯片还有希望吗?


事实上,政府高层一直很清楚芯片及相关制造设备的重要性,并以越来越大的力度对芯片制造技术进行投资,这在世界上是独一无二的。


中国并非没有发展芯片制造的能力,相关技术一直有国家专项资金重点支持,只是作为技术储备未大规模进入生产环节。过去中国企业使用美系芯片与技术体系,以保证在重要市场的技术竞争力,这也是全球企业通行的做法。


然而,美国的打压导致芯片产业逻辑变了。新的逻辑是,市场不再是成本决策,而是开始考虑生存问题,自主技术将获得空前的动力,相关企业为了生存会主动对芯片技术体系进行调整。


当前,政府正以前所未有的力度组织芯片业“补短板”。按以往经验,以国有企业为核心的技术团队实现基础技术突破,将高科技元素“白菜化”之后,地方上的投资热潮会逐渐兴起。芯片业也会遵循这一规律。


发展芯片制造业需要遵循的另一条规律是,在其他制造业领域,中国引进自研两条腿走路的路线大获成功,国产替代取得很大进展。


其他领域取得大面积突破的主要原因是,业界生产技术基本稳定,中国各种配套技术纷纷进步,时机成熟后就能一举替代成功。芯片制造的特殊性在于,技术不仅没有停滞,还在加速发展。


目前,国产设备已经初步具备了自主发展的基础。如华虹集团与中芯国际未来设备国产化率必然逐渐提升。需要指出的是,芯片制造设备的国产替代虽然正在加紧进行,但由于起点低,现在还处于早期阶段,暂时还不能成为国产芯片提高产能的主要支撑。目前国内正在规划快速提高芯片产能,预计数年内可以翻倍,但肯定是以进口制造设备为主。


一些人将国产芯片落后的原因归结为以前忽视自主制造,其实这并非真相,其他行业的经验也不支持这种结论。


发展芯片制造业短期内还是应该坚持“两条腿走路”。在自主发展的同时,如果上产能需要引进外国设备,那就大力引进。由于中国芯片市场极其重要,全球芯片业界内心非常渴望中国市场,合作的机会并不会因美国遏制而消失。例如,大批买入ASML的DUV光刻机我们很需要也可以做到,即使美国不让买。


4

进击路线图




根据芯片行业的特殊性、国产芯片的产业现状来分析,可能的自主芯片的发展路线图如下:


第一阶段是奠定基础。2025年前,以进口设备为主大幅提升成熟制程芯片产能,自主芯片制造技术快速发展补短板,进口替代不断推进。这一阶段的自给率目标并不会太高。


由于晶圆厂建设周期长,短期内新增产能还是会主要依靠成熟技术也就是进口设备。中国企业掌握国际主流设备的生产工艺,意义也非常重大。扩大晶圆产能具有战略意义,不能等国产设备成熟后再推进,这与使用进口设备并不矛盾。


这一阶段,国产设备将争取切入生产线,获取实质性的设备市场份额。国产设备的技术能力得到证明后,进口设备也能更为顺利。


第二阶段是国产芯片制造设备成熟,主要环节打通,具备主动操作的能力,上产能加速。


经过第一阶段的技术积累后,在成熟制程芯片领域,中国争取形成研发、制造、销售的自主产业链闭环。2025年以后,即使芯片制造设备无法进口,中国的产能扩张也能得到保证。届时中国芯片业将迎来历史性转折。


中国打通产业链以后,通常能实现效率的急剧提升,如建厂周期、建厂成本、设备成本大幅下降,生产效率大幅提升。芯片生产将和其他诸多行业一样,被中国重新定义。中国将不仅是芯片应用市场主体,也将成为芯片生产制造主体,更好地为全球提供芯片福利。


这个阶段,国产芯片自给率会大幅提升。全球芯片产能分布、制造设备份额分布将发生巨大变化。一批中国芯片企业会崛起。


第三阶段与前两个阶段有关联,中国先进制程芯片将取得重大突破,彻底解决“卡脖子”问题。


先进制程的进展分为两类:一类基于进口设备与工艺,一类基于国产设备与生产线,二者并不矛盾。


“中国芯”将分阶段看到各类目标的曙光,目前正在转折前夜。此过程中,以芯片制造设备为核心的自主芯片研发进展将是最关键因素,但并不需要完全依靠自主,进口设备也是重要技术来源。


5

“芯片应用中心”的特殊意义




芯片生产出来后到消费者能用上,还需要进一步整合到整机系统里,因此芯片有极为广泛的下游应用行业。中国目前芯片生产环节相对弱势,需要大量进口,但下游芯片应用方面却发展得不错,这非常特殊——芯片应用行业并没有分散于全球,却集中到发生了工业奇迹的中国。


有些人担心,中国芯片制造技术落后导致进口太多,供应出了问题怎么办。实际上,在美国遏制中国产业升级的背景下,“芯片进口极多”和“芯片应用中心”的地位也发挥了特殊作用——市场份额的意义凸显出来了。中国进口芯片规模庞大,大到成为了市场主体,这就具有了保护作用,还可以对美国的行动形成制约。如果中国芯片应用规模不大,美国把这些生意搅黄或转移到其他国家,对它的影响可能不会太大。可中国的芯片应用产业占到全世界的七成,就是完全不同的逻辑了。


理论上,手机、平板电脑组装这样的芯片应用产业,可以转移到东南亚或印度等地,但想上规模难度非常大。产业规模本身就是不可逾越的难度,且相关的产能不是芯片一个行业的事。对于整个产业链来说,没有芯片不行,但只有芯片也不行;对于中国来说,国产芯片水平还不太行,但其他方面实在太行了。


中国芯片下游产业链齐全、产能充足的战略意义极大,相关行业的产能基本都是全球第二名的十倍以上。外国有芯片技术,但是要想把“外围产能”建起来,却需要很长时间。这种规模的投资是十万亿美元级别,且需要从基建开始搞起。


全球芯片业的必然选择是,生产的芯片大部分继续出口到中国集成应用,中国主导的应用环节是芯片业“变现”的最后一环,不可或缺。


虽然一些国家也在想办法把集成应用从中国分离出去,但进展不快,障碍很多,形成不了规模效应。国外不少高科技公司经营精力有限,嫌转移产业链太麻烦,也不想为政客不切实际的目标下大力气。回顾以往,2018年美国发动贸易战时,中国进口芯片增速还加快了。






user avatar   eidosper 网友的相关建议: 
      

哎呀,别钓了,没人上当的,没人想成为行走的50万。


user avatar   bj365 网友的相关建议: 
      

关于半导体,其实不是咱本行,但看得多、听得多了,有些体会,给大家提几个醒。

1,谈EDA卡脖的,直接绕过。

2,谈FPGA卡脖的,绕过。

3,谈模拟芯片卡脖的,绕过。

4,谈光刻胶卡脖的,绕!

5,说我是一名从业人员,摆薪资待遇不如intel的,半导体没戏。绕着走!

6,现在还在谈基础科学不行,得从重视基础研究开始抓的。绕远点!

7,谈汉芯造假的,直接躲远点。

8,谈龙芯骗经费的,直接踢翻它!


关键是光刻机,良品率。当然,最关键还是光刻机。

我们的可用于生产28纳米的光刻机什么时候出来?看阿斯麦尔下一批什么时候给我们发货。


user avatar   ye-lai-liao-669 网友的相关建议: 
      

没有问题。今年仍然能保证28nm光刻机试产。这意义着中国将继日本,成为第三个有能力生产28nm光刻机。

而明年,改进款,14nm传闻也能下线,那就一下只挤身世界一流。仅次于荷兰阿斯麦公司。

28nm节点到7nm节点,都是同一技术路线,突破了28nm就很容易长驱直入7nm。

自称业内人士会科普有多难,什么十年五年。

光刻机的技术透明度远远比原子弹还高。除了专利是阻碍,在中国这行业齐全基础上去研究,很难吗?

euv光刻机打通了,就会从7nmeuv直入3nm。我始终对2nm不抱希望。

满打满算,euv也就顶天5年。而其它技术,不会等着你出来才弄的。可以抢跑,这就是打入台积电的好处了

看看我之前写的,有空将技术进展给更新了

没想到,一大堆没两条动态的人来攻击

更新一下。

谈谈下我对28nm量产的看法。

困难肯定是有的,还很多,毕竟底子少。我也懒得写那么多,结合我上面那篇看。这里只说一下上面那篇没谈到的东西。

SSA800型是干式,做到28nm还行(台积电就没问题),再下去就很困难了。改进型800B是浸没式,能2022年下线,进度就没问题。

SSA800能不能在预期中下线,没有人敢保证,只能说是大概率能在今年底下线导入。

结合华为中芯的动作来猜测。

当然,即使拖延一下也改变不了即将下线的结果。晚一个季度就叫失败,没能力?

不要用按顺序来一关一关攻克来算总时间。很多技术都是先跑了,从28nm节点,到5nm节点,全在研究,打入供应链才能做大。这也是我为什么强烈抨击那些反对台积电南京扩产的人。我发现他们不是不懂,只是单纯的坏。

直到美国禁止台积电南京扩产,他们就不出来跳了。

影响28nm(含14nm)量产的原因,量产主要是考虑良率。毕竟密度低点也无所谓,面积大点罢了,成本高一两成也比用外面的便宜。但是良率低就不能忍受了。坏了一个die搞不好就要整块切了,成本就是翻倍的算了。

良率提升目前主要是以下几个难点。

一个是12寸晶圆平整度不够。28nm用8寸成本高,更不要说更先进的制程了。拉8寸与拉12寸的难度是几何倍的提升。对外依存度达到94%。其实,能买到,也不算是难点。就是有些二极管人觉得非国产。

应对方法。中芯新建的三个项目解决这个问题。给国产安全提供了保证。

第二是,光源的均性不稳定。

这个是光源与,镜片系统造成的,缺一不可。如果800延期,大概率是这个原因了。

主要原因是,长时间运行,光源均性下降,会造成光刻进度不一,除了光源问题就是镜片打磨不够精细。光刻机是系统工程,不是单一个原因造成的,还涉及到掩膜、涂料等。

应对。皆为02专项立项项目。中科院主要负责,且不设盈利指标。

另外镜片打磨费时费力。每个镜片磨个三五年都没啥奇怪的。精度的确认要一批批下来才能确认。



ASML全球份额超90%,独家占据所有EUV光刻机份额。ASML截止2021.7月中,对内地出口光刻机占比达17%。这17%含未交付订单。比上一年翻倍了。

什么时候,我们能做好了,就是发货的时机,恶心且狠毒。

沸腾了。可笑。

等《大国重器》记录片播放吧。别人努力了十几年,少的也有七八年。你们一句沸腾了事。

现在只是收获果实的时候罢了。

7.30更新对EUV的看法。

今天看了一些技术人员对EUV的看法。

其中对哈工大于2019就停止发表论文以及专利申请,来判断完成02专项(之前试验机已经做出了,DUV更是没问题)。

这个结论我是实在想破脑袋都想不出来,这是怎么推导的?

2019发生了什么?哈工大被列入制裁名单!

你觉得还会公开?

然后从已经公开资料来看差距。

实话说,这信息早已经落后了。之前我文章指出了三个单位分别攻关EUV光源。而自2019后,其速度就提速了。随着几十个企业单位列入后,就没人谈了,该删、散。

起码,某司每年3亿多美元赞助高校的资金就让国内的高校科研单位瓜分了,且不带条件。

而,上海南京新扩建研发中心就是在做DUV,EUV研究。

攻击国有单位落后、私企高效是你们。攻击私企做不了,靠国家也是你们。现在都集中起来了,你们还能找各个角度喷

我现在比较担心的是,在EUV还没有研发出来,就已经解禁了。

我没开玩笑,天津谈话,我方根本不鸟鹰酱。因为鹰酱有求于人。可以看我想法。也可以看看知乎上这问题的回答

有人问我,光刻胶南大光电已经做到出用于7nm。

我在评论区就回答了光刻胶、12寸晶圆依存度高达90%以上,但这是不敢,不能卡的项目。

之前日本禁运光刻胶给韩国,恢复后当即损失了8%的份额。8%不多,但却打开了一个潘多拉宝盒。随着时间发展,这数字只会更大。

在整个光刻机项目,类似的还有很多


user avatar   du-gu-jian-er 网友的相关建议: 
      

本来已经不愿再回答光刻机相关的问题,但鉴于部分网友随意散布无可靠来源的小道消息,外边已经越传越邪乎了。

光刻机确实是国家重大科技专项02的项目之一。但是根据目前公开的信息来看,进展还十分有限,详情可以参考我之前的回答。至于2021这个时间点,我也不知道是从何而来的~~~

现在网上流传的所谓28nm光刻机的说法本来就是一个错误的概念。目前国家组织攻关的是基于193nm ArF准分子激光的干式和浸没式DUV光刻机,然而即使是当前最先进的193nm ArF浸没式DUV光刻机ASML TWINSCAN NXT:2000i,其单次曝光的分辨率也只有38nm左右。

至于TSMC为什么可以用DUV光刻机推进到7nm节点,除了使用多重曝光、光刻胶的选择性吸收,基于EDA的分辨率增强技术等,还跟当前先进制程的定义有关,具体不再赘述。

拥有先进光刻机不等于就掌握了高端制程工艺,这是一个被反复强调的话题。顶级菜刀在米其林三星主厨手里是屠龙刀,在不会烹饪的人手里就是废铁。SMIC除了EUV光刻机外的设备不比TSMC差,只能做14nm是自身技术的差距。

就整个半导体和集成电路产业而言,我国的落后是全方位的。在半导体设备领域,除了ASML的光刻机,还有Applied Materials的PVD、离子注入,Lam Research的刻蚀,KLA的光学检测设备等,都是先进制程工艺无法离开的。在设计领域,产业链顶端的EDA工具、基础IP等,我国的积累也十分有限,几近空白。


user avatar   mrelie 网友的相关建议: 
      

很多人说追不上,的确追不上,但是问题是,根本不需要追上。只要实现28nm成熟制程的去美化产线,就意味着全球芯片70%的市场份额被中国拿下。一增一减,美国体系的蛋糕小了,研发费用少了,中国体系能自我造血正循环了。只要实现28nm去美化,剩下的就是时间问题,美国不着急的话我们就慢慢追赶,但我估计真到那时候,大家就要坐下来谈判了,国资入股asml,美国利用技术来卡脖子的事儿要说道说道了,怎么建立一个公平合理共同进步的半导体产业共同体也要好好说道说道。45年国民党本有机会实现和平建国的,今天美国也在犯同一个错误,一家独大的地位守不住是因为东方的力量崛起太快,这种力量的转变你只能接受,要不就把我们揍一顿,揍服为止,就跟对小日本曾经做过的一样,现在美国是不愿意接受,同时也知道揍不了你,民主党就尽量拖着,叫以拖待变,万一你中国也颜色gm了呢,梦想还是要有的。共和党一些极右翼希望现在就能把中国揍趴下,趁着还有可能,但感觉国内也行不成共识。光刻机只是产业的一个问题,它只是今天中美关系众多问题里拿出来的一个子问题,解决问题之道并不完全在技术,也不是什么独立自主的问题,芯片产业是天然的全球化产业,这是一个bully和反bully的问题,是全球半导体产业是不是以公平竞争为原则的市场化导向的问题。


user avatar   messi-4-25 网友的相关建议: 
      

这个问题咱们实事求是来讲,现在网上各种自媒体,知乎er的观点简直是让人绝望,1、跟光刻机领域不沾边的基本上是“我坚信…” “可以的…” “原子弹造的出造不成这玩意?”。2、有些沾边的,似懂非懂的,“好像可以,又好像很难”。3、行业里的,我指的是真正懂光刻机的,不是指全部半导体领域,做工艺,做材料,做测试等都应该归位第2类,那么真的懂光刻机人瞬间就缩小为那么一小撮,这类人基本都认为,“可以,如果真的自研光源,物镜,超精密对准系统,光刻软件,超精密硅晶片,都可以,但是真的难于上青天”,而实际上,此时此刻,在全中国,跟这几个核心技术相关的高精尖人才,加起来绝对不会超过1000人,这还是往乐观了说。就比如光刻机软件吧,能做光刻机商用软件架构的,此时此刻,全中国还没有一个人,未来可以有,也一定会有,这个从无到有的过程就是我们要干的具体事情,但是,此时此刻没有,首先目前市面上还没有国产化商业的 专业工程设计软件,连CAD这种划划线的都没有,并不是我们不懂,而是商业化软件跟一般搞科研是不一样的,整个架构超级复杂,并且要经过客户很多年打磨补bug才能成熟,其次还要有光学、物理、材料这块的顶尖之顶尖人才,外加晶元厂才能配合一起完成这套软件。就单这套软件,如果完全靠本土培养的人才,就算国家倾尽所有,asml拿一台光刻机给你练手,少则3年,多则10年。这不是在开玩笑,晶元厂有的测试软件是按天license,也就是按天收费,过了24点,重新授权,可想而知。所有解决诸如此类问题,我们必然是通过各种渠道,引进现有经验来加速,但是不管能不能引的来引不来,加得了速加不了速,首先得知道这个事情本身的难度对吧,这样不管未来我们是快是慢,都不会骄傲自满或者妄自菲薄吧。

超精密对准系统的难度是几个核心技术中相对,注意是相对,低的,得益于机械领域比较好,比较长的积累,这个跟刻蚀机一样,很有难度,但没卡住我们。

光源,duv用的ArF准分子激光器,属于大功率,超稳定193nm深紫外激光,由于不需要二次激发,收光系统难度可控,但极需要反复测试,就是设计、模拟、打样、测试、分析、改设计、打样,以咱中国人的拼劲,开始一轮至少半年,后面熟了也许能加速到3个月,难的是激光器的制造,虽然中国人在1962年也就是美国佬发明激光的第二年也搞定了,而且泵浦效率直接改进一倍(没什么值得吹的,确实也不难),毛主席还专门表扬过,但是在最后近60年的发展中,除了军用没落后之外,商业用激光器全面落后,军用和工业商用激光器的区别就类似于航天发动机和航空发动机的区别,不多说。现在发力,要达到当前最先进水平,实在是任重道远,这个难度可能只有比如长光所那几个做光源的老师和同学知道其中滋味。再说euv的光源系统,那这个难度是直接从王者变地狱,13.5nm的极紫外光,再过去一点就是严格意义的x射线了,需要通过高功率的二氧化碳激光器激发熔融态锡滴,发生非线性多光子吸收后再通过自发辐射发出13.5nm光波,就这个13.5nm的光,全世界目前也找不出一种光学材料能够折射它,首先折射率基本都接近于1了,其次如此高能量的光子,还没穿透就被吸收了,甚至连空气都能把它吸收,所以euv光刻机里必须抽真空,还有就是这玩意已经快算是x射线了,天天照,被照射的材料也要能受得了,因此euv里无论是收光系统还是物镜系统,全都是采用镀有多层钼硅干涉型光学膜的反射镜,而不像duv一样还可以使用折射透镜。做是真的知道怎么做,其中原理并没有超出我们认知,甚至不能说在学术上有多大创新,但做不做得出来才是关键,是真的超级难,国内已经有很多研究,2017年国家就验收了一项“极紫外光刻关键技术的研究”,但是,各位要清楚这种验收,真不是黑,咱们目前科研体制就这样,诺奖都没有资格当院士,不要当真,有是有,1和100的差别。所以,如果5年后,比如长光所掏出成熟13.5nm极紫外光源系统,你我都要顶礼膜拜,十叩九跪,但是如果十年甚至二十年再掏出来,也不要怪人家。

最后提一下物镜,同样的,duv的物镜跟euv的物镜不在一个级别,其中之难不多言,你只需要知道,此时此刻,不谈别人,中国的工业体系不支持磨出能够达到duv镜片所要求精度,遑论euv(除了磨还得镀比duv镜片高级的膜)。这个点不要有任何怀疑,因为几年前有比这低的多需求,最后是岛国搞定的,其实尼康佳能当年也搞不定卡尔蔡司的水平,卡尔蔡司公司发明的显微镜,磨镜片磨了100年,当年的技术傲慢的卡尔蔡司因为判断失误,导致差点丢失光刻机市场,彼时彼刻,浑身是梦的ASML说动了误入歧途卡尔蔡司,这一结合就是30年,最终一起打造了这套梦幻级别的离轴反射式极紫外光刻物镜。所以,结论与光源系统一样,我们也可以,但请想想我们要付出多少,这是定个目标,喊个口号,就能完成的?

依吾愚见,不明真相,盲目自信的舆论,说多了三人成虎。我们应该清楚真相,为科研工作者加油打气,同时给管理科研工作者的人施加舆论压力,提高这些家伙的待遇(利益无关哈别瞎jb猜),这么难搞还不给人涨工资,谁愿意搞,就算搞出来了大家都以为很简单,还有什么好搞的,躺平不好吗。

。。。。2021/07/01


2021/08/07 插播一段评论对话,思考良久,这真的是典型的徒喊口号,啥事不会干的,并且有严重阅读障碍症

金灿荣教授,在自己专业之下很厉害,但不是什么都可以瞎吹的。什么时候我们变得上了热搜,上了新闻就一定是正确的,被打脸的还少吗?

讲得非常好,没毛病,虽然全中国人都知道。当然还有很多精彩观点,我个人是非常认同的。


然后

极具隐蔽性的是他说的是工业产值,而不是国民生产总值,却没有特意强调,结果引导的大部分人以为是说类似GDP指标,以至于引得全民高潮自嗨。他去年在一个视频里说的中国2020GDP的问题,我印象非常非常深刻,只是找不到那个视频了,那是个普通视频,其他说的都没什么毛病,就是胡说八道的预测突然让我很震惊,不过估计也是为了传递国人信心,但实在有点扯。

“美国工业产值会变得跟广东省相当,日本跟浙江省相当,澳大利亚跟杭州相当,新西兰给他个余姚区的名分吧,我只能告诉你这天会到来,具体哪天你不要问,反正是个早晚问题,要真实现了,我牛比封神。没实现?那不关我的事,又不是我去实现,肯定是要去实现的那帮人出问题,我反正只负责吹牛B,又不用负法律责任,万一真实现了,而我又没有提前吹,那不是亏大了“






———————------------------———-分割1———-------------------------------——————

我举的CAD软件的这个例子不够准确,国内这些年发展不错,很对朋友对此进行了纠正,不熟悉的东西不应该乱讲。例子虽然不准确,但是光刻机软件确实跟这不是一个级别。

———————------------------———-分割2———-------------------------------——————

很有意思,评论总体分两派,一派是从事半导体行业的,全部是抱着一颗敬畏之心,但同时也有决心的,另一派基本就是上来乱打一通,很快啊,不过我完全不想接。还有一部分很可爱,很想知道我到底说的对不对,不管是要反驳还是赞同,至少是会基于事实,但是因为不那么了解,所以挺着急的 。本来我是计划拿把光刻机里面涉及的一些相关科研论文、专利列出来,我来做总结不用担心看不懂。这样就有一个了解,大概的历史以及最新的进展,因为我认为自己懂不算真本事,让别人也能懂才是真厉害,就像相对论最后能放在普通高等教育课本。但这个工作量真的比较大,最近没有时间做这个事,很惭愧。我想做这个事的另外一个原因就是,对于基础自然科学来讲,越了解一个事情就越敬畏,因为这些自然规律客观的令人绝望,就比如引力常数不会因为全世界人民的虔心祈祷和不懈努力而发生一丝丝改变,所以当了解真相或者说事实之后,我想看看有多少人的思想会随之改变呢,要改变一个人的想法是很难的,这其中还有很大一部分是面子问题,就比如反相对论的老师,我最近去翻了他的论文,上来就抛出来说声速不变,那公式里面的光速不就全部改成声速了,结果就有多种可能了,爱因斯坦不就有大大的问题了?你说他不对,他说科学就是用来质疑的,凭什么不能说不对,你说他对,又觉得玩笑开大了。转念一想,他说的这些其实是因为只基于一知半解的知识,而不是全知全解,甚至看到这里的某些同学已经在想声速在空气中就是340m/s,是不变的呀,好像没错啊 。我说那么OK,它在水中速度就不是340m/s,你又说了,那光速在水中和空气中也不一样啊,你为什么说光速不变。那么我就说,我们来探讨一下麦克斯韦电磁理论吧,那么好了,你说:我不听我不听 不对不对……。所以 不实事求是有多大危害呀。

我还是对我不能把更多信息整理出来表示抱歉。

———————------------------———-分割3———-------------------------------——————

asml 先进半导体材料光刻技术公司,1984年成立于荷兰,起点豪华,由飞利浦和asm合资成立,实际上并不像asml在公司自传中描述的那么凄惨,它继承了飞利浦光刻机部门最核心的对准技术,在很大程度上依靠此技术在90年代竞争中脱颖而出,初期得到两大股东和政府的资金支持,并保持和卡尔蔡司三十年的紧密合作,同时在30多年的发展中,得到了包括美国政府,顶级半导体公司以及全世界最先进产业链的支持,从来没有一天,为哪怕一颗螺丝钉被别人卡脖子而劳过神。 相比之下,日本光刻机良好的发展势头被美国政府毫不保留地,全面地扼杀在树苗期,完全退出高端光刻机。更不用说中国,在种子时期,土壤就被撒了毒药,期间还出现汉芯这种雪上加霜的事情,好不容易雨水稀释了一些,正要发芽的时候,又有人想把土壤都给挖走。这根本就是金汤匙和窝窝头的对比。

知乎er的水平下限再次刷新…

———————------------------———-分割4———-------------------------------——————

还是抽点时间展开来讲吧,我相信EUVL(极紫外光刻)对于99.9%的人是很神秘的,博士和本科生最大的差别就是,面对一扇门一个走进去了,一个留在门口,不进去永远不知道门里面是什么,不管里面是风雨还是彩虹,永远只会觉得神秘。

我们来以2020年最新综述文章起头,为了便于所有人阅读,找了国内的文章,当然老外现在基本上也不写这方面的综述了。首先,我们来看看引言部分,我把重要的段落贴上原文,废话不多说。

"宗楠, 胡蔚敏, 王志敏,等. 激光等离子体13.5 nm极紫外光刻光源进展[J]. 中国光学, 2020, 13(1)."

  • 原文1:通过瑞利公式可知,减小工艺因子常数k,增大光学系统的数值孔径NA以及减小曝光光源的波长A均可以使最小线宽(节点)d变小。然而,前两种方案的技术难度越来越大,人们几乎已经将其做到了极限。所以,通过缩短曝光波长A来减小线宽已成为目前光刻技术的主要研究方向。

小结:有3种缩小光刻尺寸的办法,其中2种已经逼近过程极限,第3种是目前的正道,也就是使用波长更短的光。


2

  • 原文2: 在光刻技术的发展历程中,科研人员们不断地在探索更短曝光波长的可能性。上世纪80年代至90年代初期,光刻主要采用高压放电汞灯产生的波长436 nm(G线)和365 nm(I线)作为光源。汞灯普遍应用于步进曝光机,从而实现0.35um的特征尺寸b J。自上世纪90年代中期后,深紫外光刻技术(Deep Ultraviolet lithography,DUVL)开始逐渐占据光刻技术的主导地位。工业上开始使用深紫外波段(DUV Ultraviolet,DUV)248 nm的KrF和193 nm的ArF准分子激光器作为曝光光源M。随后,当光源发展为157 nm的F2准分子激光器时,由于光刻胶和掩模材料的局限,使得157 nm光刻技术受到了很大的限制。研究人员们发现充人浸没液后,193 nm光源等效波长小于157 nm。另外193 nm光刻机技术相对成熟,开发者只需重点解决浸没技术相关的问题,因而采用浸没技术的193 nm光源逐渐取代157 am光源继续成为主流技术"J。目前,荷兰AdvancedSemiconductor Material Lithography(ASML)公司于2018年生产的NXT:2000i(采用193 nm光源)产品为现有最高水平的DUV光刻机,其分辨率为38 nm。NXT:2000i结合多次曝光套刻技术可将线宽缩小至7—5 nm。此外,NXT:2000i是ASML旗下套刻精度(Overlay)最高的DUV光刻机产品,其数值可达1.9 nm5 am节点要求Overlay至少为2.4 nm,7 nm节点要求Overlay至少为3.5 nm。但是由于多次曝光套刻技术过于复杂,使得生产成本大幅增加,而器件的产量却大幅降低。可以看出,DUVL技术已经达到极限,研究人员们很难再将其所得到的线宽缩至更小的范围。

小结:短波长的进化历史,

1980~1990年代,波长436nm~365nm为主,实现350nm的刻蚀尺寸;

1995年后,波长248nm和193nm开始主导市场,而后开始打磨193nm,期间出现157nm的波长(其实该光源是日本人主推),被大神林本坚用简简单单的纯水给打败了,加了水在物镜和wafer之间,相当于波长变成大概130nm,而且配套的其他东西不用怎么改,直接把日本人干趴下。那个时候故事非常有意思,有点类似于战国时期,一个简单的选择,就决定了整个历史的走向,当时林本坚是找过日本人的,日本人在157nm上投入了巨多,加之日本人一贯的保守,不愿放弃,而ASML则没有什么包袱,日本人兵败如山倒,客户流失,研发亏损巨大,加之美国的打压一蹶不振,ASML则摧枯拉朽般一路登顶,这一事件直接影响了光刻机市场的走向,也深刻影响了半导体产业今后的格局。试想,如果日本人接受这一建议,走对了方向,那不仅是光源,物镜日本人也能做出来,就不是蔡司一家了,其他也一样,再加上比如强无敌的材料,过去30年的历史则必然是另一番景象,不过历史有偶然也有必然,也不像我们想的那么简单,没发生的就不是历史。

2018年,对DUV的打磨从未停止,ASML推出了型号为 NXT2000i的至尊版DUV光刻机,其套刻精度(所谓套刻就是通过反复光刻来达到想要的尺寸)已经达到5nm工艺节点所需要的精度,但工艺复杂度和良率远不如EUV, 性能/价格 EUV>至尊版DUV>平民版DUV。所以实际上,如果ASML没有推出EUV,用至尊版DUV,今天还是能生产出5nm,只是会贵上不少。

至此,193nm DUV的极限已被榨干。

ASML的至尊版DUV,可以看到使用的仍然是传统的透射式光学系统


ASML的EUV,采用反射式光学系统(炫技效果拉满),第一张图片可以感受一下这是有多大,见过一次DUV,很有压迫感。

找时间继续更新。。。(21/07/21)

———————------------------———-分割5———-------------------------------——————

接上次继续,今天开始EUV,同样先来研究一下历史发展。

  • 原文3:EUVL技术于上世纪80年代末由美国和日本的相关研究人员提出,他们指出用波长为10—30 nm的EUV光作为光刻机的光源可以大幅缩小ICs的最小特征尺寸。随后,一些国家的公司和研究机构对EUVL的发光原理,实现过程以及工业化生产等方面进行了大量研究。如:国际著名公司(如:Intel,Gigaphoton,ASML等),著名研究机构(如:美国Sandia National Laboratory(SNL),Lawrence Livermore National Laboratory(LLNL),Lawrence Berkley National Laboratory(LBNL);日本产业技术综合研究所等)以及许多知名大学(如:美国普渡大学,加利福尼亚大学;日本九州大学;瑞士苏黎世联邦理工学院等)。经过近30多年的研究,EUVL技术获得巨大进展,ASML、Intel及Nikon等公司均有EUVL演示样机的报道”但目前仅ASML有在售产品。
  • 国内对EUVL技术的研究起步较晚,主要是由中国科学院和部分高校的一些团队在进行相关研究工作。中国科学院长春光学精密机械与物理研究所(简称长春光机所)对EUVL的研究较早,自上世纪90年代末就对EUV光和x射线成像技术进行了相关研究。国内第一套EUV光刻原理装置是于2002年由长春光机所研制出来的,该款装置的出现标志着我国实现了对EUVL原理性的贯通。2008年,国家科技重大专项(02专项)将EUVL技术列为“32—22 nm装备技术前瞻性研究”重要攻关任务,长春光机所为“极紫外光刻关键技术研究”项目的牵头单位。该项目研究团队经过8年的研究,最终研制出线宽为32 nm的EUV光刻投影曝光装置。2017年,“极紫外光刻关键技术研究”项目通过验收。此外,中国科学院上海光学精密机械研究所的蔡懿等人,长春理工大学林景全课题组,哈尔滨工业大学李小强等人⋯以及华中科技大学、同济大学等相关课题组。m1均对EUVL的靶材选取、驱动光源设计、碎屑处理系统等装置进行了理论和实验研究。

小结:1980年代末,老美和日本人率先提出有机会创造出10nm~30nm的可用光源,因特尔等行业大佬,美国的3个顶级科研机构,美日欧的大学都对此展开深入研究,最后只有ASML成功商业化。论文里没有展开背后的故事,这里简单补充一下,1997年,处于金字塔顶端的Intel很自然就预见了DUV的极限,也就是摩尔定律的极限,于是Intel跑去游说克林顿政府,在被Intel一顿‘忽悠’后,由美国能源部出资,Intel牵头,以公司的形式成立了 EUV LLC. 联盟,并邀请了美国一众大佬,例如摩托罗拉、AMD,欧洲的英飞凌,ASML,以及日本尼康等公司加盟,当然,美国的几家顶级科研机构也在列,随后美国政府果断将日本公司从Intel给出的名单中剔除(实际上,任何政府在了解到实际情况前提下,都会选择这样干,这就是国家利益,这实在是无可厚非,就像中国空间站不可能标注英文),并让加入的欧洲公司签下一系列条款,彼时彼刻,对ASML这样的公司来讲,根本不可能不答应,甚至偷笑。但在当时,这无疑是一项艰巨的开拓之路,极大可能像其他前端的研究一样,最后没有结果,至少从日本方面的成果来看,是毋庸置疑的,尼康从80年代开始到00年左右都还一直在投入这方面的研究。这里面有很多因素,只能说当时的EUV联盟是做足了的准备,政府直接的财政支持,而且是直接给到Intel,暂且认为没有中间商赚差价;行业内世界最顶级的公司;最强的科研机构和工程团队。有了充分的准备,能不能成,就真的看天了。再困难的事情,只要努力,齐心协力,方向正确,结果都不会差,但在一开始大家心里都是没底的。经过大概6年的时间,在Intel的‘鞭笞’下,科学家在EUV光刻机的几个核心技术领域进行了深入探索,银子也花完了,最后大家得出结论,各项探索很成功,技术可行性基本被验证,接下来就要进行技术整合,也就是工程化,还要钱。好了,美国政府也不傻,一方面这东西花了这么多钱也没给我搞出个原型机,根本就是无底洞嘛另一方面,那是你民主党的项目,我共和党可不再给你买单,别再想从我这里再拿一分钱了。其实那时候Intel自己也是没有底气的,其他大佬公司也不太可能出钱,那个时候,就是觉得不太可能做的出来,但又还是抱有一丝希望,只是谁也不想接,因为基本都不是自己的主营。而ASML则是‘接锅’的绝佳人选,ASML本身也是非常想接锅的,因为一方面自己就是干光刻机的,最重要的是这个技术太诱人了,虽然风险大,但做出来就能躺平一辈子,成为制造业的ARM不是梦(他们技术支持出差都是按小时收费的)。于是,2003年左右,ASML把成果承接下来,继续干,在接下来的10年里,ASML预研主要投入两方面,一个是18寸晶圆项目(面积是目前12寸的2.25倍),一个是EUV光刻机项目,这都是相当烧钱的。一般会想,12寸到18寸,只不过面积增大了,而EUV的几大核心难题听起来就不太可能,所以肯定18寸项目更有前途。没错,当时的三巨头,也就是牙膏厂,三丧和湾湾电也是这样想的。在经历了15个年头,也就是在2012年左右,ASML熬不住了,实在没钱烧了,找三巨头要钱,在向他们展示了一台问题极多的实验室原型机,并表示愿意‘忍痛割爱’25%股权后,三巨头微微一笑,认购了总计23%股份,牙膏15%+湾湾5%+三丧3%(放弃了2%,本来3%都不想要),并且一大部分是用于投资18寸项目。

实际上,三巨头不是很想投资EUV,他们都是非常清楚EUV项目的历史的,基本都抱悲观态度,觉得实在是看不到底,他们看好的是18寸项目,如果只有EUV项目,这个投资还是要打一个大大的问号,但是ASML还是非常坚持看好EUV(只有真正懂的人才知道价值),态度也很决绝,我个人猜测ASML的信心和决心还是让大家看到一定希望,一定程度的感染了三巨头,毕竟格局还是在。3年后,时间已经来到2015年,EUV样机还是问题多多,18寸项目难产,锁定期到了,大家减持的减持,退出的退出,湾湾抛的一点不剩,不过虽然如此,这笔资金还是帮助ASML渡过难关,只不过千万不要以为像有些自媒体胡说八道的那样,什么三巨头高瞻远瞩,共创佳话的。虽然台积电抛掉了股票,但是一直都和AMSL合作紧密,打磨工艺。时间来到2017年,终于,在经历了无数磨难之后,EUV光刻机迎来了曙光,正式投入商用,在又经历1年的磨合之后,于2019年开始为苹果量产制造7nm的A13系列芯片,以及2020年5nm的A14系芯片,同时将为摩尔定律续命10年,这一刻,30年的梦想终于实现了。

读到这里,我想问,ASML和EUV的故事给了我们什么启示呢?还是先来看看我们自己的情况吧。

我们是从90年代末才开始对这块投入,对一下时间线,也就是EUV联盟成立的时候,一般来讲像EUV联盟成立这种事件,必然会在科研界掀起一小波潮流,所以我们也从那时候开始完全说的通,主要是科研院校,完全没有公司参与。然后我们回想一下,新世纪的开始正是我们国家经济腾飞的时期,为了发展经济我们还是牺牲了很多,别说这种东西,比如国防开支都是紧巴巴的,连西方都觉得不靠谱的东西,我们不可能会在这种项目重大投入。所以时间也来到30年后的2017年,ASML的EUV正式商用,而我们则也通过了一项代表性的研发成果,

【中国科学报】“极紫外光刻关键技术研究”通过验收----中国科学院

这是中科院官网2017年7月份的报道。此时,一切还风平浪静,美国第一次挥向中国中兴通讯公司的制裁大棒还要10个月才会落下,但从EUV商用的那一刻,美国人在半导体产业对全世界的封锁方案,相信已经一箩筐了,要知道,三星,台积电,Intel不用说,这都是美国财团控股,中国没有退路。

。。。。21/07/24


———————------------------———-分割5———-------------------------------——————

还是有朋友在抓着我举的CAD软件的例子不放,本来想修改答案,但是我觉得没必要,人无完人,事情也一样,虚心就对了。但我发现实际上很多人只是知道有这样的国产软件,并不了解背后的情况,不过这激发了我的兴趣,这其中肯定有许多坎坷和经验值得学习,如果有哪位知道国产CAD的历史,要比较清楚的,麻烦回复告知一下,以正视听。


好,继续正题。今天开始研究核心技术,国内的进展和研究成果放后面说吧。

极紫外光刻的核心———光源技术

原文:为满足极紫外光刻需求,其光源应具有如下性能: ( 1) 输出功率达百瓦量级,且功率波动小;( 2) 较窄的激光线宽; ( 3) 较高的系统效率; ( 4) 可接受的体积和重量; ( 5) 可长时间、高可靠性运转; ( 6) 维修、维护成本低; ( 7) 低污染。目前,主要有4 种方案可以获得EUV 光源,分别是: 同步辐射源、激光等离子体( Laser ProducedPlasma,LPP) 、放电等离子体( DischargedProduced Plasma,DPP) 和激光辅助放电等离子体( Laser-assisted Discharge Plasma,LDP) 。选取哪一种方案,并如何运用该方案以大幅提高EUVL光刻机光源的功率来满足大规模工业生产( HighVolume Manufacturing,HVM) 的需要成为世界各国所必须攻克的主要难题之一。

小结:商业化EUV光刻机,注意是商业化,不是搞科研。核心技术之一的光源,要满足:功率更大,稳定性更好,效率不能低,体积重量可控,可靠性好,易维护,还要无污染。综合来讲,极紫外光很难获取,目前主要有4种方案。

需要指出的是其中第二行第2点“较窄的激光线宽”,对此我是有疑问的,这样表述应该是不准确的,实际上EUV用来光刻的光不是激光,而是由激光激发的二次发光,虽然极紫外光的光谱宽度也很窄,但这种光一般不是激光,而是跟我们普通灯光类似的,由自发辐射产生的非相干光,激光是受激辐射的相干光(听不懂的略过,不影响,如有不对,请指正)。所以文章指的应该是用来激发极紫外光的激光,而不是最后用来光刻的光,目前使用的激光器是二氧化碳激光(波长10.6微米)。


原文:同步辐射源的优点是可以产生高功率的EUV 光,而且它对光学原件无碎屑污染,故可以长时间稳定地输出EUV 光。但是,过于复杂和庞大的装置构造以及极其高昂的造价等都表明同步辐射源并不适用于HVM 生产。LPP、DPP 和LDP 都是通过高能量束使靶材产生较高的温升,从而产生高温、高密度的等离子体并发射EUV光。虽然它们的形成方法有所差异,但却可以使用相同靶材。

小结:第1种光源技术叫做,同步辐射源,优点:功率高,对光学元件无污染,稳定。缺点: 系统太复杂,体积非常大,成本相当高昂。

简单解释一下同步辐射源,高能(也即高速)带电粒子在磁场左右下会发射电磁波,最早是在粒子加速器上观察到的这一现象。因此可以利用这一特性来产生极紫外光波,但是要让粒子辐射满足要求的光波,必须要接近光速,大家想想粒子加速器是多么巨无霸。。。这东西如怎么用来做光刻机光源,这个问题本身就已经是一个究极课题了。。。所以想想就好

长三角平台大科学装置详情:国家同步辐射实验室


原文:LPP 是以高强度的脉冲激光为驱动能源照射靶材,使靶材产生高温等离子体并辐射EUV 光。图1 是激光等离子体产生EUV 光的示意图。其中,采用数十千瓦功率的激光从一圆孔进入打在液滴Sn 靶上,产生的极紫外光通过多层介质膜反射镜反射汇聚在中心焦点( Intermediate Focus,IF) 处。

小结:第2种光源技术叫做,激光等离子体(LPP),用几万瓦瞬时功率的激光轰击等离子材料,比如熔融的锡滴,锡滴会被激发出极紫外光,再用一个弧形反射镜来收集这些光,如图1。


原文:DPP 是将靶材涂覆在阳极和阴极之间,两个电极在高压下产生强烈的放电使靶材产生等离子体。由于Z 箍缩效应,当洛伦兹力收缩等离子体时,等离子体被加热,产生EUV 光。图2 是放电等离子体产生EUV 光的示意图。其中,靶材也为Sn 靶。Sn 靶后面为一组叶片,即所谓的“箔片陷阱”,可防止Sn 碎屑到达叶片后面的收集器( 即反射镜) 而使其被污染。最后,EUV 光汇聚于IF 点。

小结:第3中光源技术叫做,放电等离子体(DPP),把金属,例如锡,涂覆在电极两端,加高压,这样两极之间也会产生等离子体,并且会发生箍缩效应,简而言之就是这些等离子体因为某种效应能量变得比较高,产生自发辐射,从而发出极紫外光。但是要注意,如图2,这种方法会产生锡的废屑,可能飞的到处都是,万一飞到光学元件上,积累起来就完蛋了,所以要在光学元件和电极间加一个阻隔,反正你只要知道这个阻隔的东西也不好做就行了。


原文:LDP 是将LPP 与DPP 结合起来,先用脉冲激光照射靶材,使靶材细化,再运用DPP 技术放电使靶材产生EUV 光。

小结:第4种技术叫做,激光辅助放电等离子体(LDP),就是第3和第4种方法的结合,没啥好讲的。

以上4种方法,第1种 想想就好;第3种,不仅光源本身易损坏,而且可能损坏光学元件,也不是很稳定;第4种,因为要结合第3种技术,所以第3种有的问题,它也有;综合来看,第2种,激光等离子放电是最可行的方案,ASML正是采用这种方法。虽然我们知道了结论,但是这个探索过程长达十数年,不要以为简单。我查了一些文献,仅对靶材锡的使用方法,就做了很多的研究,最后才收敛为用熔融锡滴的形式。

ASML EUV光刻机光源,锡滴被激光激发的瞬间

。。。。21/07/30


———————------------------———-分割6———-------------------------------——————

原文:多层膜反射镜由于光子能量极高的EUV 光几乎可被所有介质所吸收,EUV 多层膜反射镜作为光学系统的重要元件成为了EUV 光源的一项关键技术,需实现EUV 波段的高反射率。近年来,科研人员们通过研究发现,采用Mo /Si 多层膜制备出的反射镜对中心波长为13. 5 nm、光谱带宽( Band-width,BW) 在2% 以内EUV 光的反射率可达70%。通过将Mo 原子和Si 原子交替排列,可使13. 5 nm 的EUV 光在其中发生干涉,从而得到较高的反射效率。

小结:核心技术之光学薄膜。之前讲过,极紫外的光子能量很高,由光电效应我们知道,光子能量越高,粒子吸收光子时能跃迁的能级就约多,因此能被各种物质吸收的概率也越高,到了极紫外光,连空气都会把它吸收,更别说玻璃之类的。为了不让光被吸收,肯定不能用折射系统,那只能用反射系统,普通的金属反射镜也是不行的,也会被吸收很多,而且光学系统有好多块反射镜,如果反射率低了,那反射级次能量就没了。那么好了,在光学领域还有个干涉光学,高中物理简单讲,利用光学干涉 加强的特性,可以让反射率变高,而且可以镀介质膜,薄膜光学的基础知识告诉我们,通过高低折射率搭配的膜层,就可以让反射率变得很高,而且膜层数越多,反射率越高,但也不能太多,因为镀的层数越多,工艺越难。

所以EUV中的镀膜技术其实是很难的,并不是因为不会设计,而是对工艺要求实在太高,大家做了很多研究,为此我专门找了文章中引用的一篇文章来给大家举个栗子,

“Pelizzo M G , Suman M , Monaco G , et al. High performance EUV multilayer structures insensitive to capping layer optical parameters[J]. Optics Express, 2008, 16(19):15228-15237.”

这是2008年的发布在美国光学学会《光学快报 optics express》一篇文章,是意大利的一个大学和研究机构做的,以下是原文链接

为了简单说明问题,把人家总结看一下,估计也没什么人会去看全文,这篇文章我看了,写得就是EUV光刻机里面用的镀膜技术,没那么神秘,有兴趣把引言部分读一下的话,就能大概了解EUV镀膜的发展。实际上,要研究一个课题,可以从一任何一篇相关论文开始把根都给挖出来,但这是需要巨大努力的,比如我们现在正在做的事情。

这篇文章看起来已经到了EUV镀膜发展中后期的研究了,文章改进了薄膜设计的方法,用钼/非晶硅膜层对作为主要构成(现在用的就是这个构成,也研究过钌/钼,铂/钼等组成),同时分别用钌/钼、铂/钼和非晶硅/钼 加镀了覆盖层 (capping layer,这是创新),发现这样做可以降低工艺的要求,也就是能够容忍更多的工艺误差,同时还能保持反射率。文章中提到,为了核实稳定性的结果,中间有一段6个月的跨度,就是做出来6个月后再测一下性能,这就是科学的严谨性以及不能急躁,想想如果失败了相当于一轮实验从概念到验证结果就是将近一年,杂志的平均接受时间3~5个月,还有写文章时间,相当于做完这个实验硕士就毕业了,博士做2~3个就很了不起了。当然,我们绝大部分中国的科研人员都是很棒的,是创新、严谨、有耐心的,只是有一两颗老鼠屎而已。

如图,横坐标是波长,纵坐标是反射率,结果表明即使镀了干涉薄膜,单镜片EUV的反射率也就在~70%,这跟目前的结果是相符的。实际上,有光学干涉加强的方式来做反射,并且达到70%已经是上天恩赐了,否则目前还想不到更好的办法来收集EUV。

。。。。21/07/31


———————------------------———-分割7———-------------------------------—————

拿出书本,翻到上一课。。。

上一课讲到,13.5nm的光波在最先进光学干涉薄膜的加持下,反射率也只能达到70%,那么我们来一个逆向工程,



如上图,这是EUV光刻机的光学系统,分为4个部分,我们来看一下总共有几个反射面,

EUV收集系统(1)+照明系统(4)+掩模板(1)+成像系统(6)=12个,

总反射率=(70%)^12=1.38%,

也就是2%不到的效率,但这已经算高的,所以还得把激光能量加大,这就是为什么需要大功率激光的原因,如果反射率能够到90%,那对激光功率的要求就降低为原来的一半,但相比于提高反射率,增大激光功率看起来更现实,还有一个可能就是降低反射镜的使用数量,不过要达到EUV的光刻要求,这已经是设计的极限了,4反的物镜系统还达不到要求,8反,10反的对激光要求又要提更高。有了以上分析,加上光刻胶对光能量的要求,以及锡滴的转换效率,那么我们就可以得到对激光器功率规格的要求。

有很多人一直在争论原子弹难还是光刻机难,这实际上是不同的两个领域,简单来讲,从0到1,原子弹难,从1到100,光刻机难,从0到1,第一台光刻机的难度跟这个EUV光刻机比,那能比吗。而需求就是,原子弹有1就行,光刻机得100。光刻机是商业化产物,如果仅仅是做出来而不考虑量产,那比EUV光刻机还牛的设备还好些呢,比如eBeam,电子束直写,你要多少纳米都给你刻出来,但如果你真拿它来量产,那估计到现在iPhone 4的手机芯片都还没生产完。

再举个栗子,第一颗原子弹用了大概60Kg左右的浓缩铀,但只有约1kg的铀参与了裂变反应,而其中只有0.6g的物质最后湮灭成能量,利用率~0.001%,跟euv光刻机比还差了1000多倍,但这就足够了,不过要记住这是不计成本的方式。所以凡事不能绝对来比。


回到论文,EUV光源CE(EUV.CE)的提高

原文:对于商业化大规模生产的EUV光刻机,如何在降低成本的情况下提高晶圆的生产率是一个极为重要的问题。到目前为止,根据ASML公司2017年所生产的最新EUV光刻机设备NXE:3400B的参数可得,在实际光刻生产中,该款设备每小时操作的晶圆数目可以达到125片以上。这就要求EUV光源在进入光刻系统以前,IF点的输出功率必须在205 W以上。目前为止,ASML公司和Gigaphoton公司的EUV光源设备均可输出250 W较为稳定的EUV光,最大值甚至可以达到375 W。然而,相较于EUV光刻机高昂的成本而言,这样的生产效率和输出功率仍然有较大的提升空间。因而,找到如何能够有效提高EUV光源CE的方案已成为了EUVL的一个重点研究方向。光源的CE值是指EUV输出能量除以输入激光能量并换算成百分数后所得到的数值。目前,提高cE的途径主要有以下几种:(1)优选靶材组份及形态;(2)优选激光源;(3)采取双脉冲的方案。

小结:光源的转换效率有不断提升的空间和必要,(CE,conversion efficiency,指EUV输出能量/激光输入能量)。目前,每小时需要能刻125片晶圆,就要求光从收集系统出来要达到205W功率,ASML和GP公司能稳定250W的功率,最高能到375W。提高效率的途径有三种,1.靶材;2.激光源;3.激发方式。

解释一下,光功率越高,光刻胶的光化学反应越快,需要的光刻时间也变短,单位时间就可以刻更多的晶圆。


原文:选择中心波长为13.5 am、2%带宽内的EUV光作为光刻光源是由Mo/Si多层膜反射镜的特性所决定的,而能在此波段发出EUV光的靶材有很多种。研究人员通过相关的理论和实验研究发现,氙(xe)、锂(“)、锡(Sn)等为该波段范围内的主要靶材。通过仿真计算的方法可以得到11镜系统在不同靶材(sn,u,xe)中近垂直入射方向的反射率。其中Sn在13.5 am波长处的反射率占比最大。最初,人们比较关注“靶∞1。锂的类氢离子“2+的Ly。跃迁恰好与波长为13.5 tim的EUV光谱相对应。可是当稳态“等离子体处在高温的环境下时,会有极少量的“2+离子处于电离平衡态,也就是说,等离子体仅由剩余的原子核和自由电子组成,并且无任何谱线发出。T.Hi—gashiguchi和A.Nagano等人的研究表明,基于LPP的Li靶产生的13.5 nm.EUV光的CE只有1%一2%左右。较低的CE表明,b靶并不能作为EUVL光源中的最佳靶材。随后,人们又对xe靶做了相关研究。因为xe靶是清洁能源,所以它具有不产生碎屑,对光学系统损伤小,可以长期工作而无需更换光学元件等优。然而通过实验可以发现,基于LPP的Xe靶产生13.5 am.EUV光的CE仅有1%左右,主要由xe元素的一种离子xel0+在4d8-÷4d 75p的跃迁产生,除了较为低下的cE外,xe的光谱纯度也较差。最后,基于LPP的Sn靶在13.5 am,2%带宽内的EUV来源极为广泛,主要由Sn等离子体中的高价态离子sn8+.snl2+跃迁形成,相关文献给出了Sn8+、Sn9+、Snm+、Snll+离子的EUV谱线跃迁图。目前,sn的EUV—CE值可达5%。6%。研究人员发现固体Sn靶几何形状的差异对EUV辐射也有很大影响。因此,人们对包括平板形靶、限腔形靶、球形靶、空腔形靶、纳米结构靶、液滴形靶在内的固体Sn靶进行了相关研究早期,人们以平面Sn作为靶材。然而,用激光照射平板sn靶,会造成被激光光束聚焦中心照射部分靶材的温度远高于周围其他部分。而由于存在较大的温度梯度,中心部分的等离子体膨胀速度快,周围部分的等离子体膨胀速度慢。速度较慢的等离子体会对速度较快的等离子体所在的区域,也就是EUV发射主导区域(Emission Dominant Region,EDR)所发出的EUV光存在较为强烈的吸收,进而影响EUV—CE。针对平面靶材的这一缺点,2003年,T.Tomie等人通过使用双脉冲照射sn的限腔形靶并在入射激光相反的方向收集EUV光。该方案证明了限腔形Sn靶相较于平板Sn靶具有更高的EUV—CE;2005年,Y.Tao等人也为克服平板靶材的缺点,在Sn条靶材的底部放置了具有一定厚度和宽度的碳氢薄膜。然后,用激光光束照射sn条靶材和碳氢薄膜,使sn条为被脉冲激光束聚焦中心照射的部分,而碳氢薄膜则为激光光斑边缘的照射部分。因为碳氢等离子体质量小,其膨胀速度较快,该方案成功地消减了由于温度分布不均匀性对EDR区所产生的影响,使得EUV.CE提高了1.4倍Ⅲ1;同年,Y.Shimada等人尝试将sn靶材的形状由平板换为了球形。他们将直径为几微米的球形塑料靶材表面涂满厚度为微米量级的Sn,最终得到了最大值为3%的CE旧纠;2008年,S.Yuspeh等人同样研究了球形sn靶对EUV—CE的影响。结果与Y.Shimada等人的结论一致,球形Sn靶具有较高的CE,而且CE会随着Sn靶直径与焦斑大小比值的减小而逐渐增加旧6|;2010年,S.S.Hafilal等人研究了凹槽形靶对EUV.CE的影响。他们发现当脉冲激光打在平板Sn靶上的同一点的脉冲数量逐渐增多时,等离子体EUV—CE从2.7%增加到了5%,而辐射EUV的等离子体区域也较之前拉长了近一倍";2014年,T.Cum.rains等人对楔形结构的Sn靶做了相关研究,并最终发现楔形Sn靶的EUV.CE约为3.6%旧8|;后来,为降低离子碎屑、提高EUV.CE,人们开始逐渐减小sn靶的尺寸,并最终将液滴sn靶作为主要研究对象。这是因为液滴Sn靶好操控且碎屑较少,故其CE较高。一些光源供应公司对液滴Sn靶进行了相关研究,最终确定将其作为EUV光刻机光源的辐射靶材。世界知名高校九州大学(日本)、大阪大学(日本),苏黎世联邦理工学院(瑞士)等大学也较早开展了对液滴Sn靶的研究。目前,用于HVM的EUV光刻机光源均是采用液滴Sn靶。虽然液滴sn靶能达到较为理想的EUV.CE,但其时间和空间的不稳定性为光刻机光源的设计和制造增加了难度。

小结:理论分析表明,锂、氙、锡,有符合极紫外发光的能级。结果做完实验后发现,锂和氙的效率太低不适合,锡里面的跃迁能级更丰富,效率比前两者高很多,纯度也不错,所以开始死磕锡。后来发现,锡的几何形状对效率也有影响,于是做了各种花样锡来接受激光的‘拷打’,最后发现做成圆球形的液滴状是最好的,这个过程大概有10多年,绝大部分是老外干的,所以在这块咱又可以拿现成的东西来用,妥妥的‘师夷长技’,那些说要弯道超车的,其实这也是一个超车点,只是我不知道全中国现在有没有1个人的脑子在想,用什么更好的材料来超车。液滴状虽然性能好,但是对光刻机的制造也提出了挑战。

。。。。21/08/04


———————------------------———-分割7———-------------------------------—————

继续。。。

驱动激光的选择

原文:选择LPP作为EUV驱动光源时,激光波长、激光脉宽以及入射激光光束聚焦情况的改变均可以影响EUV.CE。CO2激光器与Nd:YAG激光器是较为合适的EUVL激光器。因为这两种激光器的输出功率较大,能量转换效率高,可以实现高功率的EUV光输出。2007年,J.White等人分别通过将上述两种类型的激光器照射Sn靶,分析了不同激光波长对EUV.CE的影响。当能量等条件相同时,用波长分别为10.6“m、1064 nm、355 nm的激光照射Sn靶产生EUV光。他们发现相较于使用Nd:YAG激光脉冲,使用cO:激光脉冲能获得较高的cE(两者比值为2.2),而且辐射出的EUV光功率也较高mJ。图3为CO:激光与Nd:YAG激光诱发激光等离子体EUV辐射区域与激光能量沉积区域的比较H5|。由图3可以看出,CO:激光之所以具有更高的CE是因为脉冲激光能量沉积区与EUV辐射区相距不远,这样便于激光能量快速转移到等离子体中辐射EUV光。同年,日本EUVL系统发展协会的Akira Endo等人进行了类似的实验。他们发现用cO:激光作为驱动光源产生碎屑数量少,光谱纯度高。

2009年,s.S.Harilal等人研究入射激光光束聚焦情况对EUV—CE的影响时发现,当激光正好聚焦到Sn靶上时并不能获得最理想的CE值。为此,他们通过相关实验找出了获得最佳CE时激光在靶材上的光斑尺寸,并发现最佳聚焦条件时的CE值比聚焦到靶材上时的CE值高了近25%;同年,基于上述现象,Kasperczuk等人解释了激光聚焦条件影响EUV.CE的原因。实际上,聚焦会使靶材初始等离子状态受到极大影响,因而后续的激光脉冲会与受影响的初始等离子体相互作用而影响实验结果。有学者研究发现,可以先用预脉冲照射液滴Sn靶,产生初始等离子体碎片。设计好延迟时间后,再用高功率密度的主脉冲照射初始等离子体碎片,产生高温、高密度的等离子体并辐射EUV光。这种方案的优势在于预脉冲使液滴体积变大,易于后面的主脉冲与其发生作用,提高了主脉冲激光的利用率以及最终的CE值。在双脉冲照射实验中,常使用Nd:YAG激光作为预脉冲激光源,可有效地提高EUV.CE。这是因为Nd:YAG激光具有更深的穿透深度、更高的等离子体临界密度,可气化更多的靶材等优点ⅢJ。2008年,Shinsuke Fujioka等人采用Nd:YAG激光(预脉冲)和CO:激光(主脉冲)照射液滴sn靶艚。他们的实验结果表明双脉冲激光辐射液滴Sn靶产生的EUV.CE基本都高于单脉冲激光所产生的EUV-CE;2012年,Freeman等人将预脉冲激光波长分别设置为266 nm(4倍频的Nd:YAG激光)和1 064 nm,研究了不同预脉冲波长对C02激光辐射Sn靶产生EUV光的影响旧J。他们发现,l 064 nm预脉冲激光相较于266 nm预脉冲激光所产生的离子碎屑少,这间接证明了用1 064 am的Nd:YAG激光器作为预脉冲激光时,碎屑粒子具有更低的动能。

小结:掺铝钇铝石榴石激光和二氧化碳激光因为功率可以做的比较大而适用,在2007年,也就是14年前,科学家用这两种类型激光器做了3种组波长的比对实验,结果发现10.6um波长的二氧化碳激光器的激发效率最高(人体辐射波长刚好也是10um左右,说明人体构造中的主要粒子能级跟二氧化碳中的某一能级很接近,不过我这样猜测可能不严格),同年日本科学家又证明用二氧化碳激光器产生的碎屑少,2009年又有科学家研究了怎么来聚焦光斑会让效率最高,也得到了很好的结果,因此这些成果沿用至今,目前EUV光刻机用的就是CO2激光器。

原文:有学者研究发现,可以先用预脉冲照射液滴Sn靶,产生初始等离子体碎片。设计好延迟时间后,再用高功率密度的主脉冲照射初始等离子体碎片,产生高温、高密度的等离子体并辐射EUV光。这种方案的优势在于预脉冲使液滴体积变大,易于后面的主脉冲与其发生作用,提高了主脉冲激光的利用率以及最终的CE值。在双脉冲照射实验中,常使用Nd:YAG激光作为预脉冲激光源,可有效地提高EUV.CE。这是因为Nd:YAG激光具有更深的穿透深度、更高的等离子体临界密度,可气化更多的靶材等优点ⅢJ。2008年,Shinsuke Fujioka等人采用Nd:YAG激光(预脉冲)和CO:激光(主脉冲)照射液滴sn靶艚。他们的实验结果表明双脉冲激光辐射液滴Sn靶产生的EUV.CE基本都高于单脉冲激光所产生的EUV-CE;2012年,Freeman等人将预脉冲激光波长分别设置为266 nm(4倍频的Nd:YAG激光)和1 064 nm,研究了不同预脉冲波长对C02激光辐射Sn靶产生EUV光的影响。他们发现,l 064 nm预脉冲激光相较于266 nm预脉冲激光所产生的离子碎屑少,这间接证明了用1 064 am的Nd:YAG激光器作为预脉冲激光时,碎屑粒子具有更低的动能。

小结:随着研究深入,发现还有提升空间 ,先打一束激光‘预热’锡靶,然后再打一束激光上去,效果更好,效率更高,但这也增加了制造难度,不过这难不倒勤劳聪明的工程师们,目前EUV光刻机中正是采用了该方法,采用二氧化碳激光器,对熔融态锡滴,进行二次激发,第一次把锡滴激活并且撞成‘饼状’,增加与第2次光斑的接触面积,提高转换效率(原文里没说这个)。不过我估计三次四次有可能更好,但有没有必要就不一定。

。。。。21/08/07

———————------------------———-分割8———-------------------------------—————


原文:LPP通过激光辐射靶材产生高温、高密度的等离子体并辐射EUV光。在此过程中,必然会产生一定数量的碎屑。这些碎屑主要由熔融液滴、微粒团簇、中性碎屑原子和高能离子组成。其中,速度最慢的微粒团簇,直径大约在微米量级以上,运动速度约为103cm/s左右;高能离子因具有较高能量而运动最快,速度可达106~107cm/sml;中性粒子碎屑的速度介于上述两者之间。碎屑问题作为EUV光刻机大规模工业化生产过程中不可忽视的问题之一,其影响具体表现在:(1)碎屑会损伤光源的收集系统,碎屑中的高能离子会撞击多层膜反射镜,造成多层膜反射镜结构被破坏。同时,能量较低、速度较慢的中性碎屑粒子有一定的概率会附着在多层膜反射镜上,吸收生成的EUV光并加热多层膜反射镜,进一步破坏其结构。无论是高能粒子还是中性原子,都会使多层膜反射镜的反射率降低,导致EUV光刻机设备中的一些反射镜需要时常更换,从而影响光源长时间的稳定工作;(2)中性粒子等碎屑会吸收EUV辐射,而且亚微米级的微粒团簇和熔融液滴因不能完全被运用到产生EUV辐射的过程中而被浪费,这些均可能限制EUV-CE。综上所述,减少LPP.EUV过程中所产生的碎屑是极为重要的。对于微米量级以上的碎屑,可以通过上一小节中所提到的双脉冲激光辐射方案除去”。对于其他种类的碎屑问题,科研人员们也分别做了大量实验研究。2003年,G.Niimi等人通过在光源的收集装置中添加磁场研究了LPP离子碎屑的特性。结果发现,在磁场的作用下,离子信号有明显的下降,而且距离磁场越近,下降比例越明显;2007年,S.S.Harilal等人又在有磁场的光源收集系统中加入了缓冲气体,实验发现缓冲气体不仅可以减缓高能碎屑离子,同时也能抑制中性碎屑粒子;2012年,孙英博等人在光源系统中充入氩气、氦气等缓冲气体,研究了不同种类的缓冲气体对Sn离子碎屑缓解效果的影响。目前市售EUV光刻机产品均采用将充人惰性气体或氢气和外加磁场相结合的方案除去碎屑。充人惰性气体的好处在于:(1)充人气体的分子与碎屑离子相撞,降低了其运动速度,流动的气体还可将碎屑离子吹到远离多层膜反射镜的区域,减少其对光学收集系统的损害;(2)当充人的气体是氢气时,靠近器壁的氢气通过放电的方式形成电容耦合的氢气等离子体,其中的H自由基可以与Sn粒子发生化学反应,反应的化学方程式为Sn(s)+4H(g)——SnH。(g),产生了热蒸汽SnH。通过真空抽吸的容器可以去除热气体和sn蒸气。加入磁场的优点在于:(1)因为EUV光为主要由Sn离子和电子组成的Sn等离子体发射,所以几乎所有的sn离子都可以通过拉莫尔运动而被强磁场捕获;(2)一些中性原子可以通过与离子碰撞的方式,发生电荷交换成为离子而被磁场捕获。最终这些碎屑粒子均可被碎屑收集装置所收集。

小结:最后一个关键的问题是碎屑问题。激光和锡的反应其实是比较激烈的,因为激光是高能激光,锡是等离子态(等离子一般都是由高速带电粒子组成的,太阳就是一团等离子体),因此一些粒子会在此反应中“溅射”出来,比如熔融的锡滴、微粒簇,高速带电粒子,低速能粒子(再低也有10m/s),中性粒子。中性粒子会吸收EUV,“溅射”的熔融锡滴、微粒簇没有参加反应,这些都会影响转换效率;而高能粒子和低能粒子都会对光学元件产生物理性的破坏。

为了对付这些碎屑,科学家们又想了很多办法(问题真的是越研究越多哈),1. 微米以上,大一点的碎屑,可以通过上面说的双脉冲方式解决;2.加入磁场后,离子的“溅射”明显减少,这是因为带电离子受磁场约束影响;3.对于中性粒子,冲入惰性气体后也能得很好的缓解,同时对离子碎屑和其他碎屑都有缓冲作用。

那么目前在售的EUV光刻机是采取,惰性气体+氢气+磁场 的三重防护,惰性气体起缓冲保护作用,同时把碎屑吹离光学元件;氢气在器壁附件放电形成H+,可以和锡碎屑(Sn)反应生成SnH4的热蒸汽,然后被抽出去;磁场那肯定是用来捕获带电碎屑,不带电的碎屑经过碰撞后也会电离从而被磁场捕获。 听起来这三板斧一顿操作之后,碎屑也是服服帖帖,但估计攻城狮们快疯了。

。。。。。21/08/14


———————------------------———-分割9———-------------------------------—————

原文:目前,已经收购Cymer 公司( 世界领先的激光源供应商) 的荷兰光刻机巨头ASML 公司和日本Gigphoton 公司几乎垄断了全球激光光刻机光源产业,他们都可以独立地制造出基于LPP 的EUV 光刻机光源。ASML 公司于1984 年成立,公司的总部现位于荷兰费尔德霍芬,是一家半导体设备制造和销售公司。目前,英特尔,三星,中芯国际等国际知名公司都从ASML 公司采购光刻机,其市场份额已达到70%。售价1 亿美元一台的EUV 光刻机,全世界仅ASML 公司可以生产。2017 年,全世界出货的光刻机中有198 台由ASML 所制造,其中EUV 光刻机为11 台; 2018 年全世界出货的光刻机中有224 台为ASML 公司制造,较2017 增长13.13%,其中13. 5 nm-EUV 光刻机销售量为18台,较2017 年增加了63. 64%。2019 年,ASML 公司EUV 光刻机的年销量将达到30 台。图4 将ASML 公司近年来所生产的几款EUV 光刻机设备参数进行了对比( NXE: 3400C 为即将发售的产品) 。由图4 可以看出,NXE 系列产品每小时操作的晶圆数目从最初的60 片( 光源IF 点聚焦功率为100 W) 增长到125 片( 光源IF点聚焦功率为245 W) 。2018 年年末至2019 年年初,ASML 公司改良后的NXE: 3400B( 光源IF点聚焦功率为250 W) 产品,每小时的晶圆操作数可达145 个,分辨率可达13 nm 以下,Overlay 为1.7 nm( 满足5 nm 节点的工艺需求) 。ASML 公司在2019 年下半年推出的新款产品NXE: 3400C每小时操作的晶圆数为155 ~ 170 片,其overlay预计可达1. 5 nm。到2020 年后,ASML 公司还预计将新版本产品光源IF 点聚焦功率提升到350 W 以上

Gigaphoton 公司于2000 年在日本栃木县小山市成立。不同于ASML 等光刻机公司Gigaphoton 是一家激光器光源供应商。它自成立以来一直为全球包括ASML,Nikon,Canon 等半导体行业巨头提供激光光源,其光源技术一直处于世界领先水平。Gigaphoton 于2002 展开了对EUV 光源的研究。到目前为止,Gigaphoton 公司共设计了3款13.5 nm-EUV 光源产品,它们分别是Proto# 1,Proto#2 和Pilot#1。Proto#1 的设计重点是碎片减缓技术; Proto#2 作为优化CE 的设备; Pilot#1 的设计考虑了在半导体工厂中支持HVM 的商业应用。表1 将Gigaphoton 公司3 款产品的参数进行了对比。由表1 可以看出,新款产品Pilot #1在输出功率( 250 W) 、转换效率( 5%) 以及工作时长( 大于3 个月) 等众多方面都较其他两款产品有着绝对的优势。接下来,以ASML 公司和Gigaphoton公司所生产13.5 nm-EUV 光刻机光源产品为例,具体介绍LPP-EUV 光刻机光源,CO2脉冲激光振荡和放大级光路以及靶材装置。

LPP-EUV 光刻光源

相关文献给出了ASML 和Gigaphoton 公司的LPP-EUV 光刻光源的概念图[21-22]。首先,预脉冲激光通过光束传送系统( Beam Transfer System,BTS) 打到Sn 靶上,经过一定延迟后,靶材逐渐变成了亚微米级薄雾。此时,再由主脉冲激光同样通过BTS 攻击靶材,产生高温、高密度的等离子体,发出EUV 光。EUV 光经多层膜反射镜反射聚焦到IF 点,并继续投射到曝光操作平台上。上述光源装置采用氢气和磁场减缓碎屑,运用碎屑收集器回收碎屑,以免污染光学收集系统。EUV光源的输出功率为CO2 Power × CE × ( 1-DE( %) ) 。4. 2 CO2脉冲激光的振荡和放大光路图5 为Gigaphoton 公司CO2脉冲激光振荡级和放大级的光路设计概念图[22]。Gigaphoton 为了可以得到稳定的250 W-EUV 光,激光器平均功率需大于20 kW。CO2激光驱动器需产生持续时间< 20 ns 的脉冲,以实现LPP 过程的最佳效率。并且,100 kHz 的重复频率需要每个脉冲输送大于200 mJ的能量。满足这些要求的唯一方案是使用主振+功率放大器( Master Oscillator Power Amplifier,MOPA) ,以保证可以输出高功率密度,高光束质量的激光束。ASML 和Gigaphoton 均使用了MOPA 和预脉冲相结合的方案照射液滴Sn 靶。由于CO2介质的弛豫时间与脉冲持续时间相当,激光的放大效率明显较低。出于这个原因,Gigaphoton 公司将振荡级设计为多线式主振荡器( multi-line capable master oscillator) 。该方案可以改善脉冲放大效率低的问题,其将射频放电激发的平板波导CO2激光技术与相对较新的固态量子级联激光器( Quantum Cascade Asers,QCL) 结合起来作为种子光源。该方案能够产生较为稳定的高能量脉冲,并且持续时间在15 ~ 35 ns 内可调节。最终,振荡器的输出功率由两个多通道放大器系统提升至100 W 左右。相关文献给出了多线式振荡器的原理以及选用QCL 作为种子光源的优势。Gigaphoton 公司Pilot#1 光源使用由三菱电机生产的放大器,包括两个前置放大器和3 个主放大。其中,前置放大器是将主振荡器输出的100 W 脉冲激光提升到3 kW 左右,而主放大器采用商用射频放电激发泵浦的CO2激光器,将输功率提升至20 kW 以上。在Pilot#1 系统中使用快横流( Fast Transverse Flow,FTF) CO2技术,可以输出较高功率的激光并且略微改善了光束质量。横流CO2激光器中的气体压力通常低于轴流激光器中的气体压力,因为气体流动通道的横截面更宽并且流动长度更短。激光器的信号增益与气体压力成反比。因此,横流CO2激光器的信号增益通常高于轴流CO2 激光器的信号增益。此外,装置中的隔离器抑制由主振荡器和放大器耦合引起的全局自激振荡,可有效避免降低放大器增益和影响振荡器稳定工作等问题。

图6是ASML 公司研制的Sn 液滴发生器的示意图。其中,Sn 在容器中加载,加热Sn 使其温度达到熔点以上。在惰性气体施加压力的情况下,Sn 通过过滤器到达喷嘴喷出。值得注意的是,这里Sn 喷射的速率是由机械振动调制器所调制的,目的是为了使其发射液滴的频率与脉冲激光的重复频率一致。最新产品的液滴直径均小于20um.


小结:文章这里介绍了一下ASML总体情况和光刻机光源情况,主要是市场地位。ASML目前在光刻机市场占有率达到70%(在欧美,一般按照这个占有率是会触发反垄断调查的),而EUV众所周知,只有他一家,实际上高端DUV也只有ASML,图4是ASML EUV光刻机的Roadmap,产品发展和规划,有兴趣的可以仔细看看。反正就是一路向北,精度,效率都不断在攀升,而且路标基本真的都实现了,看起来能阻挡摩尔定律的就只能是量子隧传效应了。

ASML收购的美国Cymer公司做分子激光器很厉害,DUV的光源也大部分是他们供应,文章中称EUV有 Cymer和日本的Gigaphoton一直在研究,Gigaphoton从2002年就开始研究,做了2个型号的光源,一个侧重点是去碎屑,一个侧重点是提效率。

由于后端照明和成像光学系统的光效率太低,二氧化碳激光虽然已经功率比较高,但是还是不能满足,因此就要把激光能量放大,我们按照文章中的数据简单归纳一下

因为,最后锡滴要满足大于250W

所以,算上转换效率,照射在锡滴上的二氧化碳激光平均功率要>2万W。

然后,再算一下瞬时功率,文章中给出20ns脉冲时间和脉冲能量200mJ,则

P=W/t=200mJ/20ns=1千万(瓦),即CO2激光的瞬时功率要达到1千万瓦!

“满足这些要求的唯一方案是使用主振+功率放大器 ,以保证可以输出高功率密度,高光束质量的激光束。ASML 和Gigaphoton 均使用了MOPA 和预脉冲相结合的方案照射液滴Sn 靶。”

某些脑子比较沸腾,看不清楚字的同志注意哈,敲黑板,原文里写的“唯一方案。。。。”,这个‘唯一’不是我说的哈,这是中科院的科学家说的,别等下又来咬我。以上分析后,直接把谐振腔产生的激光输出是不现实的,于是就必须要加上放大器,简而言之,就是不仅仅电信号可以放大,光也是y有办法放大的,比如光电倍增管,实际上产生激光本身的谐振腔装置也是个光放大器。因为文章中说的太笼统,示意图也不是很细,我就继续查了一下引文,发现他说的“唯一.....”其实也是引用的那篇文章的。我们来稍微研究研究。

这是日本Gigaphoton公司发表在《SPIE国际光学工程学会》学术会议上的论文

原文链接:Key components technology update of the 250W high-power LPP-EUV light source

我们先来看左半部分

采用 QCL量子级联激光作为‘种子’激光(这种激光体积小,波长可调,可以产生几微米到最多几百微米波长的激光,很有用,因为长波激光是不易产生的,CO2激光是10.6um。嗯...其实太短的波长也不容易产生。。。),功率大概1mw这样,同时还用了4线激光(这里的4线对应指的是CO2分子里的能级,发现这样会比同样功率下的1线,效率要高20%)。种子激光会激活“射频板条CO2激光装置”(这是目前CO2激光器的主要方案,采用高频射频电源,加在两个很大面积的金属平板上,中间是CO2气体),在谐振腔的作用下会产生15W的激光,接着经过2次放大(其实就是等于延长增益介质),能够产生150W的激光,这个150W的激光是用于激活后面的放大装置,也就是说放大倍数最大的部分,需要上百瓦的激光来激活,小了就激活不了,所以叫预放大阶段。然后再看主放大器。

如上图,就是当激光功率大了之后再想继续放大其实不是那么简单的,这跟电信号放大是一个道理,不是无限加放大器就可以,否则不仅效率受影响,信号质量会急剧下降。所以这里的放大器跟前面的放大器是有不一样的,就不展开讲了,看文章中说的是一种FTF amplifier 也就是快速横流CO2放大器,应该就是前面那种方案的优化。无论如何,最后经过这个主放大器的作用,功率终于来到了>20kW。

想插着说一下前面讲的二次激光脉冲激发锡滴,因为他这个文章里面的图画的比较好,刚好借它来再说明一下。如上图可以很直观的看到,会有第一束激光先把锡滴(droplet)打散成雾状(Fine-mist),也就是更精细,然后第二束主激光再打上去,锡滴彻底电离,发出极紫外光,而且这时候转化效率就能够最大化。

但是。。但是。。目前ASML 商用化的EUV光刻机既没有选择自家的Cymer,也没有选择Gigaphoton,也不是美国那几家很专业的公司,最后他们选择的是一家80年的老牌德国企业通快(Trumf),这家公司的CO2激光放大器很牛逼,并且在2017年收购了一家做低功率高精度CO2激光器的美国公司大通激光Access Laser,这应该就是上面讨论的非功率放大部分的技术。并且还把锡滴发生器一起提供,等于是完整的一套光源。

最后,通快做了个视频来宣传他的技术,一起来感受一下,可以对照上面的说明,视频里基本跟上面说明一致。

官网高清观看地址:如何产生 EUV 辐射?

https://www.zhihu.com/video/1412150077272571904

两束激光(仔细看有红色和蓝色)你追我赶,看的人头皮发麻。


至此,理论和实践又合符了。有想杠的,请别再拿什么“只讲技术,不讲战略啊...”“时间问题,我肯定赢...”“原子弹牛逼...光刻机分分钟”之类的来杠了,我再次重申,我没有泄气,没有说中国做不出来,我只是在实事求是的讲技术,客观问题,不代表不能解决,不了解清楚怎么解决,至于那些讲政治经济学的赶紧走开,不要继续秀无知。不过相信能看到这里的同学水平也不会那么差把。。

。。。。。21/08/20

———————------------------———-分割10———-------------------------------—————

原文:总结与展望

截至目前,虽然DUV光刻机与EUV光刻机均可达到7—5 nm节点的制作工艺需求。但是,针对下一代更短节点的光刻工艺,只有EUV光刻机可以实现。EUVL因更短的光刻波长而具有更高的分辨率,从而减少了光刻过程中的曝光次数,降低了成本。ASML公司称,相比DUV浸没式光刻技术加多重成像技术,EUV光刻技术能够将金属层的制作成本降低9%,过孑L的制作成本降低28%。然而,现在的EUV光刻机并未完全占领市场。其技术不够完善和售价极其高昂是主要原因。通过对比ASML公司的DUV光刻机产品(NXT:2000i)与EUV光刻机产品(NXE:3400B),不难发现:在售价方面,EUV光刻机的价格更高;但在产率方面,DUV光刻机每小时操作的晶圆数目约为EUV光刻机的两倍左右。这足以证明EUVL技术仍有较大的提升和改进空间。对于未来3 nm节点的光刻技术,EUV光源的功率需要提升到500 W。l nm节点的光刻技术需要1 kW功率的EUV光源。众所周知,半导体行业快速发展的核心技术是光刻技术。而在如今信息化,科技化高速发展的世界中,一个国家的半导体芯片产业技术和光刻技术的发展前景标志着这个国家的科技实力和信息化程度。手机、电脑等大量高科技产品在人们生活中的普及化,以及先进制造、新材料、高功率激光等相关产业的飞速发展将促进半导体产业和光刻技术的不断更新。我国对于光刻技术的研究起步较晚,且进展较为缓慢,技术水平与国外的差距十分明显。为了具有自主研发高端集成电路的能力,我国已经开始了EUVL和DUVL的193am光刻技术的研究懈J。目前,我国在光刻领域的进展包括:(1)上海微电子装备有限公司研制的SSX600系列步进扫描投影光刻机采用DUV光源,可满足最低90 am的光刻工艺需求。此外,28工艺节点的193 am浸没式光刻机正处于研发阶段;(2)由中国科学院光电技术研究所研制的世界首台分辨力最高的紫外超分辨光刻设备采用365 nm的紫外光作为曝光波长,其一次曝光线宽可达22 nm,结合多重曝光技术后,可用于制造10 nm级别的芯片Ⅲ1;(3)长春光机所“极紫外光刻关键技术研究”项目的研究团队研制出了线宽为32 nm的EUV光刻投影曝光装置。上述光刻机设备的确与国外产品存在着较大的差距。我们需要积极的借鉴和学习国外的先进技术,集中优秀人才,组织相关团队,踏踏实实地在EUV光刻技术领域进行创新与发展。同时,还应发挥我国在紫外超分辨光刻设备中的优势,多方面发展我国的光刻工艺技术。有着国家强大综合国力的支持,我国可以将半导体芯片产业技术和光刻技术稳健地发展起来,未来在光刻技术领域占有一席之地。

小结:关于这篇论文,最后我们来看看它的总结与展望,看看权威人士,看看真正在干这个事的,我们需要仰仗的人,是怎么说的,

  1. 虽然DUV光刻机与EUV光刻机均可达到7—5 nm节点的制作工艺需求。但是,针对下一代更短节点的光刻工艺,只有EUV光刻机可以实现。用EUV做 ,成本更低;

2. 半导体行业的核心是光刻技术,半导体行业发达程度,光刻技术发达程度,标志着这个国家的实力和信息化程度;

3. 我国对于光刻技术的研究起步较晚,且进展较为缓慢,技术水平与国外的差距十分明显;

4. 先进DUV和EUV的研究都有进展,但的确与国外有不小的差距;

5. 殷切的期盼“我们需要积极的借鉴和学习国外的先进技术,集中优秀人才,组织相关团队,踏踏实实地在EUV光刻技术领域进行创新与发展。同时,还应发挥我国在紫外超分辨光刻设备中的优势,多方面发展我国的光刻工艺技术。有着国家强大综合国力的支持,我国可以将半导体芯片产业技术和光刻技术稳健地发展起来,未来在光刻技术领域占有一席之地。”

学习国外技术,集中人才,组织攻关,踏踏实实!!!

。。。。。。2021/08/25

———————------------------———-分割11———-------------------------------—————

对EUV光源我们已经研究了一遍,并且进行了相对地深挖。那么另外2大核心技术,接着来搞一搞,来继续认识一下我们自己的无知。

首先是超精密气动工作台,虽然该领域属于相对传统的机械电气领域,但鉴于本人对这块知识的相对匮乏,加之壁垒相对,是相对哈,低一些,所以就不展开研究,讲不好要贻笑大方。

不过以下的链接可以简单的对这部分的难度有个概念,了解一下扫描式光刻机的基本运作原理。

什么是步进扫描光刻机?国产光刻机与ASML差距多大?


那么现在开始研究,EUV光刻机的光学成像系统,这套异常独特的反射式成像系统,相信是众多工程师们的噩梦,让我们一起来揭开它的神秘面纱。我直接找到了卡尔蔡司公司的EUV光刻物镜的专利。考虑到基础知识的问题,找了这篇北京理工大学刘菲同学的博士论文,论文对这一领域还是进行了比较详细的研究,对EUV光刻物镜和照明系统的设计方法提出了一定的创新,相对完整的设计了六反、八反、十反的系统,虽然只是停留在设计仿真层面,但这应该也怪不了刘博士,实在是这东西也没哪里能打样做验证的,更别提系统级验证。

共轴极紫外投影光刻物镜设计研究--《北京理工大学》2014年博士论文

蔡司的这篇美国专利也是通过这篇博士论文找到的,可以在国家专利局网站上直接下载,最早是在大概2002年就有了,从最后商用情况来看,这篇专利里的方案可以说是现在量产方案的基础。

pss-system.cnipa.gov.cn

那么美国专利一般最重要的就是发明背景和细节描述这两部分。首先来看发明背景,我会按原文翻译,主要用括号*来加以注释(*....)。

— BACKGROUND OF THE INVENTION :
1. Field of the Invention
The invention relates to a projection lens for imaging a pattern arranged in an object plane onto an image plane employing electromagnetic radiation from the extreme-ultraviolet (EUV) spectral region.

本发明主要涉及到一种投影镜头,用来把一个在物平面上的图案成像到像平面上,使用的电磁辐射是处于极紫外光谱区域(*老外蛮喜欢装的,不说‘光波’,一定要说‘electromagnetic radiation电磁辐射’,高大上)

2. Description of the Related Art
Projection lenses of that type are employed on projection exposure systems used for fabricating semiconductor devices and other types of microdevices and serve to project patterns on photomasks or reticles, which shall hereinafter be referred to using the generic terms "masks" or "reticles," onto an object having a photosensitive coating at ultrahigh resolution.
这里讲的投影物镜,是专门指制造半导体器件或者其他类型的微器件的设备中,它能以超高分辨率把光掩模板上的图案投射到一个有光敏涂层的物体上。

In order to allow creating even finer structures, various approaches to improving the resolving power of projection lenses are being pursued. It is well known that resolving power may be improved by increasing the image-side numerical aperture (NA) of the projection lens. Another approach is employing shorter-wavelength electromagnetic radiation.

为了能够制造出更小的结构(就是更小晶体管),也是想尽了各种办法来提升投影物镜的分辨率。众所周知,增加像方数值孔径NA是一种办法,还有一种是使用更短波长的电磁辐射。(如下图,数值孔径numerical aperture (NA)=折射率*sin(a), 物镜极限分辨率与NA成正比)

However, improving resolution by increasing numerical aperture has several disadvantages. The major disadvantage is that the attainable depth of focus (DOF) decreases with increasing numerical aperture, which is disadvantageous because, for example, a depth of focus of the order of at least one micrometer is desirable in view of the maximum-attainable planarity of the substrate to be structured and mechanical tolerances. Systems that operate at moderate numerical apertures and improve resolving power largely by employing short-wavelength electromagnetic radiation from the extreme-ultraviolet (EUV) spectral region have thus been developed. In the case of EUV-photolithography employing operating wavelengths of 13.4 nm, resolutions of the order of 0.1 μm at typical depths of focus of the order of 1 μm may theoretically be obtained for numerical apertures of NA=0.1.

不过呢,加大数值孔径有几个缺点,主要的缺点是会虚焦,数值孔径越大虚焦越厉害,在晶圆的平面误差和机械放置误差最大的情况下,焦深至少得有1微米才行(焦深,聚焦范围,指在这个z方向的范围内,光线都能准确聚焦,超出这个范围,聚焦的斑点就会急速变大)。因此为了平衡,短波的极紫外光和适当数值孔径的物镜开始被研究。就极紫外光刻技术来讲,典型的参数是,光源13.4nm,NA 0.1,横向分辨率0.1um,以及纵向焦深1um。


It is well known that radiation from the extreme-ultraviolet spectral region cannot be focused using refractive optical elements, since radiation at the short wavelengths involved is absorbed by the known optical materials that are transparent at longer wavelengths. Mirror system that have several imaging, i.e., concave or convex, mirrors that have reflective coatings arranged between their object plane and image plane and define an optical axis of the projection lens are thus employed in EUV-photolithography. The reflective coatings employed are typically multilayer coatings having, for example, alternating layers of molybdenum and silicon.

众所周知,因为材料吸收特性,极紫外光不能用折射元件来聚焦。因此,镀有多层膜的反射镜,例如钼/硅膜(*前面已经讲过),就被用来做这个事。(这个从句写的真是。。。废话真多。不过专利都这样,美国专利还算是比较奔放的,很多详细参数真的会写出来,在中美欧的专利范式中,美国读起来还是相对好一点的)


A reflective lens for use in EUV-photolithography that has four mirrors, each of which has reflective coatings with uniformly thick layers, is disclosed in U.S. Pat. No. 5,973,826.
Another EUV-photolithographic system is shown in U.S. Pat. No. 5,153,898. That system has a maximum of five mirrors, at least one of which has an aspherical reflecting surface. Numerous combinations of materials for multilayer reflective coatings suitable for use in the EUV are stated. Their layers all have uniform thicknesses.

公开号为No. 5,973,826和 No. 5,153,898的两篇美国专利,分别使用了4个反射镜和5个反射镜的方案,5反方案中还使用了一个非球面反射镜,这两个方案,镜片上的膜层厚度都是均匀的。(*这篇专利的6反方案跟最后商用的一致,不用去纠结这两个专利)


Although reflective coatings with uniform thicknesses are relatively simple to deposit, in the case of imaging systems where the angle of entry, or angle of incidence, of the radiation employed on those areas of the mirrors utilized varies, they usually generate high reflection losses, since the thicknesses of their layers are optimized for a specially selected angle of incidence, or a narrow range of angles of incidence, only. Another of their disadvantages is a nonuniform pupil irradiance that causes a telecentricity error, structurally dependent or field-dependent resolution limits (so-called "H-V-differences or "CD-variations"), and generally lead to a narrowing down of the processing window.
Reflective EUV-imaging systems that have mirrors that have graded reflective coatings that are characterized by the fact that they have a film-thickness gradient that is rotationally symmetric with respect to the optical axis of the entire system are also known (cf. U.S. Pat. No. 5,911,858). Employing graded reflective coatings allows achieving a more uniform distribution of the reflected intensity over a certain range of angles of incidence.
Photolithographic equipment, or steppers, employ two different methods for projecting a mask onto a substrate, namely, the "step-and-repeat" method and the "step-and-scan" method. In the case of the "step-and-repeat" method, large areas of the substrate are exposed in turn, using the entire pattern present on the reticle. The associated projection optics thus have an image field that is large enough to allow imaging the entire mask onto the substrate. The substrate is translated after each exposure and the exposure procedure repeated. In the case of the step-and-scan method that is preferred here, the pattern on the mask is scanned onto the substrate through a movable slit, where the mask and slit are synchronously translated in opposite directions at rates whose ratio equals the projection lens' magnification.

虽然均匀厚度的膜层比较容易做,但通常来讲,成像系统中的光线会议各种角度入射到镜面上,而同一种厚度的膜层一般是只对特定某一窄范围的入射角度有最优的反射率,从而导致其它与之差角度别大的的光线在反射时会有大的能量损失。还有就是会有出瞳照度不均匀,这会导致远心度下降(*指成像光锥的中心光线,也即主光线,与光轴的平行度偏差),影响特征尺寸极限,也就是所谓的H-V偏差或者CD抖动,最终导致曝光范围缩窄。(H水平 V垂直,CD 特征尺寸,反正就是会影响光斑聚焦质量,这比较专业了,就不解释了)。膜层厚度随中心到边缘梯度变化,且关于光轴旋转对称的方案,在另外一篇专利U.S. 5,911,858有报道过。这会让反射能量的均匀性更好。光刻机一般分为“步进重复”型和“步进扫描”型,前者是掩模板不动,工作台动,整个掩模板的图案一次性全部曝光下去,曝完一个区域移动工作台到下个区域,周而复始,这就需要物镜有比较大的视野(*会增加物镜设计制造难度);“步进扫描”是这里更被偏爱的,不仅工作台移动,同时掩模板也会向相反的方向,以物镜放大倍率等比例的速度移动,用一个长条的窄缝来限制每次曝光的范围(*减小了单次曝光的范围,物镜难度降低)。

。。。。。2021/08/27

———————------------------———-分割12———-------------------------------—————

好像继续拆分下去意义不大,所以就大概总结一下这篇专利的情况,反正已经附了链接,大家可以自己去看。

目前EUV的物镜系统是反射式的,虽然看起来歪七扭八,但实际上这是一个同轴的系统,而并不是离轴的系统。之所以看起来歪来歪去,是因为这些镜片其实是一块完整镜片的一部分,所以这些镜片的设计中心轴,其实是重合的,只是物是偏心的而已,也就是说掩模板的中心与物镜系统的中心光轴是不重合的。这种只是物偏心的系统其实还好,如果真的是光轴有离轴角的话,那畸变会变得异常难控制。

由于EUV光刻的要求特别高,所以会对掩模板成像的亮度均匀性做很强的要求,否则因为曝光不够均匀而可能会导致晶圆上的线路特性也不均匀,而反射镜上我们前面提到过的反射膜也会对光波前产生影响,导致波前误差。因此这篇专利最大的一个亮点就是采用不均匀厚度的膜层来校正这一影响,这个还是蛮牛的,因为一般镀膜都是在表面镀一层均匀厚度的膜,而且在大部分光学系统设计中,镜片表面的光学薄膜对像差的影响几乎都是忽略不计的,但在这里不行,因此为了达到这些比较变态的要求,还要用渐变厚度的膜层来进行修正,这无疑给制造大大增加了难度。

最后,我觉得也没有必要再更新下去了,而且事情并不是我们想的那么简单。能够仔细看到这里的,其实你会发现“自主光刻机”是可以有办法知道具体的解决路径的,不过这就像我们常常听人讲大道理,听起来特别像那么回事,也知道具体要怎么干,但是,一旦动起来就变形了,这就是知易行难。“多久能搞定自主光刻机”这个问题,取决于

1.我们是否对困难有充分认识?战略藐视,战术必须重视;

2.我们决心有多大?这关系到资源和利益分配的问题;

3.如何组织人员攻关?人没找对,弯路就要多走,方向性的错误往往致命;

在目前的科研和产研环境下,做好这3点,对我们的挑战是很大的,要做好就必须改革,改革那其中必须有人要做出各种各样的牺牲,相关的人愿意牺牲吗,或者说有那么容易做出让步吗。

好多人经常举例,圆珠笔小滚珠的国产化例子,这当然值得开心,但矛盾的另一面就是说一个小滚珠我们投入了6年才有成果,光刻机凭什么说能10年内赶超呢?如果说是因为国家对光刻机更重视,那是不是所有卡脖子的技术,只要国家重视就很快国产呢?如果是的话,那就都重视一下不就好了吗?如果说资源不够用,那凭什么就一定要给光刻机用,其他很多领域其实同样是棘手的,所以说,真的只是重视一下就能解决的吗? 我们要改变,要有壮士断腕的魄力。

。。。。2021/09/08

———————------------------———-分割13———-------------------------------—————

时隔几个月,大家对国产光刻机技术还十分的关心,我也一直在关心进展,结合最近的信息,再跟大家聊一聊。

首先是很多人都知道的,在11月份,‘02专项’因为28nm光刻机未能实现预期,导致无法全部顺利验收。回顾一下,2020年6月的时候,就曾传国产“28nm光刻机”即将在2020年年底交付,但是,直到2021年底,上海微电子的28nm光刻机并未入传闻那样实现交付,新的交付时间点未有定数。

其次,我说这个事情不是为了炫耀自己,我能预判那是因为我了解,并不是因为我什么神算子,更不是要批判谁。我觉得这个事情从另外方面来讲是一个非常好的事情,至少证明事情真的很难,不是大家想象中那么简单,我们应该更务实一些,但也不可妄自菲薄,可以几条腿走路,不一定要完全国产,比如核心部件中的光源和透镜组都有德国的供应商,不一定美国的。

所以,目前情况已经很清晰了,我们不能抱有太多幻想,该干什么干什么,目前务实比务虚要重要百倍,等有一定基础成果了,我们再来研究‘套路’。最后梳理一下事情重要节点的脉络,保持跟踪。

2018.04 — 美国毫无预兆地制裁中兴,中兴毫无还手之力,国人愤慨又惊奇;

2018.07 — 中兴‘割地赔款’,罚款并且允许美方派人监督公司,换取解禁;

2018.12 — 华为CFO,任正非的女儿孟晚舟在加拿大被诱捕,再次上演‘美国陷阱’;

2019.05 — 美国将华为列入实体清单,限制美国企业向华为供应产品,欲除之而后快;

2019.09 — 由历时20多年ASML EUV光刻机制造的7nm A13系列芯片,搭载iPhone11发布;

2020.05 — 美国经过一整年的各种打压,未能如愿(华为yyds),制裁继续升级;

2020.09 — 美国祭出终极杀招,全面制裁华为芯片的代工,也彻底敲醒国人;

2020.10 — 由EUV光刻机制造的5nm A14系列芯片,搭载iPhone12发布;

2020.11 — 美国制裁31家中企,全面开战,中美高科技领域之争进入新阶段;

2021.09 — 离别祖国近3年的孟晚舟回国,‘美国陷阱’破灭,但中美对抗已无回头路;

2021.11 — 半导体战争的至高领地,国产28nm光刻机验收不顺;

2021.12 — ASML透露实现3nm以下,高NA EUV光刻机预计2023年投入试产,2025年量产。

.....

。。。。。2022/01/12


user avatar   zhang-hao-72 网友的相关建议: 
      

还是那句话,关键问题不是光刻机,是人。


user avatar    网友的相关建议: 
      

按照02规划,22年应该是28nm交付验收,但没有任何明确的文件说明会量产。试产,量产大概需要到28年左右(5年左右去建设一条实验性质的产线,子系统迭代),导入商业生产甚至可能要到30年,所以28nm芯片的试产时间节点最早也应该是2030年左右。
冷静的考虑,30年如果可以试产,我们应该会在35年,36年左右突破到7nm,但是7nm芯片大规模生产到盈利最少需要到41年左右。7nm后突破到3nm,倒是可能会很顺利,但是没有意义了,因为理论上我们过了14nm的时候,就不会被卡脖子了。

不要混淆光刻机和芯片的nm概念,有28nm的光刻机后,你可以着手去提升良率,可以去突破工艺,光刻机我是有信心的,好多人可能不知道,即使我国基础科学较为薄弱,但是在半导体领域自从90年代全民恐惧症后,我国的追随研究一直没有停止,按节点生产出来问题不大。

但是后续提升良率,生产和工艺追赶,可能是个大问题,没有10年很难追。

所以悲观的想,就是光刻机的制造难度可能占芯片生产的10%,其他的地方才见功夫

问题更多的应该是卡在人才上,我们乐观的算,19年开始大规模培养,在老一辈半导体人荣退后,在30年这个节点能有足够的人接棒子就算很幸运了,这不是学校能教出来的,是项目落地,靠烧钱才能培养出来的,一个芯片领域的工程师,没有跟过3-4个项目落地,没有参与过研发改进,他是抗不了大梁的,这种机会,太少了,而且导入是有周期的,你跟3-4个项目到运行到提升良率怎么也得15年吧?就中芯培养的那点人,范围还那么窄,根本不够。

所以我的预计是41年,因为刚好能培养出能抗大梁的一代工程师。

所以,不要过于乐观,光刻机只是被卡脖子,我国半导体的核心困境压根就不是光刻机。

adml就算把光刻机卖给你,你3年内能形成产能么?不可能的。


user avatar   lzneng 网友的相关建议: 
      

最高赞拉黑挺溜啊。看看时间节点是怎么来的。另外,尼康没人用是因为没有双工件台。











     

相关话题

  苹果m1pro和max芯片是否会对下一代英特尔芯片提升程度产生影响,让其加强芯片性能? 
  日本芯片制造商还有没有竞争力? 
  芯片的运行速度是由什么决定的? 
  阿里的5nm芯片是台积电代工还是自己生产? 
  华为在全球多地注册「HongMeng」商标,可能会有哪些影响? 
  信越公司有多牛? 
  中国有必要发展光刻机吗? 
  如何看待三星电子时隔近 3 年再次成为全球最大半导体厂商?超越芯片巨头英特尔,三星做对了什么? 
  固态硬盘,固态照明(LED)为什么被称为「固态」? 
  Cat1以前不被熟知的原因是什么? 

前一个讨论
未来二十年,江西省能够像安徽省一样快速崛起吗?
下一个讨论
美女帅哥们,请问在你们心中哪一部电影给你的印象深刻?





© 2024-05-19 - tinynew.org. All Rights Reserved.
© 2024-05-19 - tinynew.org. 保留所有权利