百科问答小站 logo
百科问答小站 font logo



讲解verilog的经典书有哪些呢? 第1页

  

user avatar   Wingo.Wang 网友的相关建议: 
      

学习Verilog要明白它只是IC设计工具,在coding之前请务必学好数电,所有的代码最终都会综合成硬件电路,所以多写code,多做仿真与综合,要让自己写的代码跑起来。

Verilog书籍推荐

《Verilog HDL高级数字设计》

这本书可以说公司里人手一本。书中详细介绍了怎么用Verilog HDL对数字系统进行建模、设计与验证。对ASIC/FPGA系统芯片工程设计开发的关键技术与流程进行了深入讲解,内容包括:集成电路芯片系统的建模,电路结构权衡、流水线技术、多核微处理器、功能验证、时序分析、测试平台、故障模拟、可测性设计、逻辑综合、后综合验证等集成电路系统的前后端工程设计与实现中的关键技术及设计案例。

Verilog数字系统设计教程(第4版)夏宇闻

国内比较经典的参考书。全书分为几个部分:基础语法,设计与验证,设计示范与实验练习。verilog没必要一直啃书,在了解基本语法之后,还是建议自己去写模块以及实例去练习。不去实战很难深刻理解语法和硬件电路。

IEEE.1364-2005: Standard for Verilog

Verilog所有的定义标准都在IEEE Std 1364™-2005,如果相对Verilog有更全面和深刻的认识,还是值得一看。

摘一下官方的简介吧:

—The formal syntax and semantics of all Verilog HDL constructs

—The formal syntax and semantics of standard delay format (SDF) constructs

—Simulation system tasks and functions, such as text output display commands

—Compiler directives, such as text substitution macros and simulation time scaling

—The programming language interface (PLI) binding mechanism

—The formal syntax and semantics of the Verilog procedural interface (VPI)

—Informative usage examples

—Informative delay model for SDF

—The VPI header file

Verilog学习网站

HDL Bits -- Verilog Practice

HDL Bits依然是我心中入门Verilog的最佳网站!在了解基本语法之后,(甚至不需要了解语法)建议去HDLBits这个网站去刷题。上面从最基础的wire,vector等基础概念,到各种门电路,组合电路,时序电路应有尽有,非常全面!

同时还有在线仿真的功能,比如下面这个反相器:

我写下实现它的代码:

module top_module(

input in,

output out

);

assign out = ~in;

endmodule

提交后比便可以在线仿真,得出波形,非常的直观好用!

Verilog-code projects

同样,这也是一个开源的Verilog学习网站,常见的经典硬件电路设计这里都有,摘一下目录:

FPGA Academic Digital Logig

这里边有很多Lab也非常不错

Verilog在线coding及仿真网站:

EDA Playground

一个verilog在线coding及仿真的工具,省去了很多配置环境的时间,对于初学者来说很友好。

从左侧的框框我们可以看到可以选择不同的验证和设计语言,可以选择UVM或OVM,同时还有其他的feature可供选择。

如果不太熟悉EDAplayground的使用,这里还有一份简单的视频教程:

Verilog课程推荐

芯动力——硬件加速设计方法

首先推荐 @Forever snow 老师的:芯动力——硬件加速设计方法

第四轮MOOC课程已接近尾声!但可以继续看回放学习。无论是做数字芯片设计还是FPGA设计,都是值得一学的课程!最重要的是免费!可以说很良心了~

“芯动力——硬件加速设计方法”是目前MOOC课程中少有的几门讲授工业界主流ASIC、SOC设计技术的课程,于2019年12月在“中国大学MOOC”平台上线,迄今已经完成了三轮授课,选课人数逾8000多人。

预告一下,如果没记错的话,9月份Forever Snow老师将上线国内首个基于商业级的RISC-V处理器的MOOC课程,目前正在录制中,表示非常期待!

Verilog for an FPGA Engineer with Xilinx Vivado Design Suite

这个课程共22.5小时,收费19.99刀,但课程还是个不错的课程,当然没有白嫖来的香。

Verilog项目设计资源

当verilog语法及常见的设计都已熟练掌握,那就应该来试试真正的项目。这里总结了Github上优秀的芯片设计项目:

学习完以上的Verilog相关的内容以及硬件设计,相信你已经成为一名合格的数字IC设计工程师了。

如果觉得自学入门很吃力,可以了解下IC修真院的数字IC设计课程,我试听过他们的课程,入行IC选择他们还是相当不错的。

欢迎加入芯片行业,为祖国的半导体的发展添砖加瓦!




  

相关话题

  如何评价 ARM 确认不受目前形势影响,可以和华为在 V9 架构上合作? 
  美国的芯片制造企业是否弱于台积电、三星?这么重要的环节会不担心么? 
  长鑫的pie研发和intel的pie怎么选呢? 
  长江存储月产 10 万片 wafer 有什么意义? 
  为什么 CPU 几乎全是方形的,别的形状就不行吗? 
  如何看待华为海思发布的 7nm 麒麟 810 新处理器,性能表现会如何?将产生哪些影响? 
  主板真的会限制内存频率么? 
  美商务部拟限制联发科等向华为供货,意味着什么? 
  如何评价2019年5月24日 中芯国际自愿从纽交所退市?是否与量产14nm制程工艺良品率95%有关? 
  国外的小芯片公司是如何做起来的? 

前一个讨论
Linux中本机和本机Socket通信会走网卡吗?
下一个讨论
既然 BGP 的前提是网络层是通的,那还需要 BGP 做什么用呢?





© 2024-05-17 - tinynew.org. All Rights Reserved.
© 2024-05-17 - tinynew.org. 保留所有权利