百科问答小站 logo
百科问答小站 font logo



ASML 表示「中国(大陆)不太可能独立造出顶尖光刻机,但也不那么绝对」,我们目前光刻机技术水平如何? 第1页

           

user avatar   feng-zhong-de-du-miao 网友的相关建议: 
      

顶尖光刻机,只要中国下决心投入,肯定是可以造出来的。

但是,ASML用4000亿投入才研发出来的顶尖光刻机,还有再往前几十年的投入积累。你不砸个4000亿,凭什么很快赶上来?

就一年给区区几亿人民币的投入力度,你凭什么很快赶上来?

佰境一个城市 去年修地铁的投入,都是这个数字的50倍啊。


PS 拿两弹一星比的话,两弹一星连续多个年份投入国家财政的十分之一,由周总理挂帅当后勤部长。那放今天就是一年投入2.5万亿(今年全国一般公共预算支出超过25万亿元)了。

投入力度,是几亿和几万亿之间的差距,就是中国的顶尖光刻机 到底能不能 很快搞出来的差距。


user avatar   lin-xi-bang-bang 网友的相关建议: 
      

光刻机最大的秘密就是光刻机能造出来

中国有14亿人,比北美➕欧盟的人口还要多。

中国只要有美国一半的人均GDP,经济总量就会超过西方

那么为啥中国就一定造不出来?

至少我在物理上没看出中国造光刻机违反了什么自然规律


user avatar   ren-huan-xiang 网友的相关建议: 
      

谢邀

又是转发的路透社新闻是吧……

这新闻内容其实来源自ASML的年度财报发布会中,附带的Q&A环节。整个QA是由ASML的CEO和CFO共同回答。

顺便吐槽一下,这么大个国际巨头,居然会在官网把时间写错…2022年1月19日写成了2021。

路透社记者Toby问了一个很多人问了很多遍的问题:中国的EUV技术能在未来发展出来、甚至替代掉ASML的技术吗?

ASML的CEO Peter Winnick是这么回答的:

(以下内容纯听力,大致意思)

整个先进的EUV技术来自于过去15-20年的历史积累,和一整个生态技术的累计。
举个例子,我们和蔡司(Zeiss)合作,让他们从柏林供给玻璃模块
他们不是直接拿出来的,而是要精密制造,整个制造过程要花费52个礼拜
而在这52个礼拜的背后是几十年的技术累计。
而像蔡司这样和我们合作的公司还有好几百家
所以我说,我们是和一整个生态在合作,而中国如果要自己造出来,需要复制一整个生态系统
这很困难,但永远不要说不可能,我相信他们肯定会尝试。
那对于他们追赶的速度,我们要做什么呢?加快创新,保持进步


作为ASML的CEO,这种问题肯定是准备了无数遍了,而回答也的确滴水不漏。

如果光就目前看到的消息来看,我们的确难度很大,作为一个外行也非常能想象复制一整个生态系统的困难。

瓦森那协议的限制,让我们不仅是拿不到EUV,甚至是制造EUV相关的材料都没有,比如那个蔡司的玻璃。

除非打破协议,不然未来这一块我们持续被瓶颈,会是大概率事件。


user avatar   maomaobear 网友的相关建议: 
      

补充一下。

为什么我这样说

有战斗机的例子。

中国本来不会造飞机。

给苏联当孙子,交投名状,才有156个大项目。

才给你米格21的技术资料,你终于能抄袭一个落后机型了。

但是抄袭也是技术,几十年吃透米格21,才能设计蹩脚的歼8。

再蹩脚,也是有战斗机设计能力了。

这是基础。在给苏联当孙子的十几年拿到的技术,自己投入才得到的能力。

然后,打越南给美国交投名状,继续装孙子。

去美国和平典范失败了,但是学了美国怎么设计飞机的,这才知道1553b总线。

不被封锁。拿到米格的技术,拿到达索的技术,知道用CAD设计飞机。

用俄罗斯的发动机。

这才有枭龙。

会设计三代机了,歼10就有了。

2000年才算追近美国70年代的水平,发动机还用俄罗斯的。

还是不被封锁,有美国的发动机核心机,有俄罗斯的发动机引进,才能做ws10,能设计ws15。

设计隐身飞机b2的美国工程师能来华交流。

这才有歼20。

虽然比F22晚了20年。但是F22之后美国也就一个低成本的F35。没有更新的装备,

这才算是真正追近了世界先进水平。

从歼7到歼20,我们一直是开发了就买。

如果我们和印度一样,买了苏联买法国买美国。自己的不采购。

到歼8的时候,就死了。

因为当时能买F16的早期型号了,也能买幻影,买米格29,苏27。

装孙子,不被封锁,拿先进技术。

国家持续投入研发。

研发出落后的也要自己在一个小封闭市场里面用。坚持下去慢慢追。也许不用50年,有10年20年就差不多了。

——分割线——

不止光刻机,工业母机缺的多了去了。

一点点来吧。

一是开放,不对抗,派人出去学,高薪请外国专家,雇佣业内资深,技术慢慢学。

要加入国际竞争,努力装孙子不让别人封锁你,慢慢占领市场。

台积电现在如日中天,当年诺基亚也如日中天,只要技术资本市场不封锁,中国慢慢融入世界。产业会慢慢转移到大陆。

两岸真一家亲,台积电把最先进的产线放到大陆成本更低,环境更好,人才更容易找。那它为什么不放?

二是国家长期投入,核心技术一个个攻关。不要发论文,做出东西来,技术突破了,量产解决了,生产线运行了就重奖。

两弹一星也是涉及几百几千个部门,工厂,最先进的做不出来,能用的还做不出来吗?


三是要用。

自己重新造轮子,要出来的轮子落后是一定的。

这个得国家支持采购,搭建产业链用起来。能造90nm了。

就针对这90nm自己的工艺,自己的光刻机,研发芯片,做出来计算机。

用一个合适的操作系统,linux自己搞个分支。自己的硬件性能落后,软件提升效率解决。

其实,办公电子化,是在350nm,250nm,180nm时代普及的。

在那个时代,中国各个行业开发了系统,开发了软件,实现了今天的高效。

当年,银行用cobol开发的系统,在全国总行负责全国人民存款和交易清算的IBM大型机,能耗掉一个机房电力的怪兽。

算力不一定能追的上今天iPhone手机的处理器。

只要软件高效开发,90nm也足够用了。


雄关漫道真如铁,而今迈步从头越。

世上无难事,只要肯登攀。


user avatar   tuo-qia-ma-ke-zhi-guan 网友的相关建议: 
      

高端产业的高端之处,表现为该产业的人无我有,人有我也有的永远不会是什么高端产业,只会是过剩产能,高端的本质是垄断。

技术壁垒只是实现垄断这一状态的一种方式,而且是一种比较愚笨,比较低效的方式,先发工业化国家目前都在抛弃这一方式,转走标准制定路线了,各种XX协会就是为这个服务的,目前的专利税实际上大多都是存量,吃一口少一口,标准税才是增量。

永远记住,目前地球上最高端的产业叫印美元,光刻机给印美元舔鞋都不配,而印美元之所以是最高端的产业,并不是因为它的技术含量。

光刻机产业的供应链有相当一部分就在中国周边,被自己炮口下的产业链断供,是我对当代中国最感到惊奇的几个事情之一,仅次于锅男心甘情愿支付天价彩礼,大概还真是你什么样,国家就什么样。


user avatar   ye-lai-liao-669 网友的相关建议: 
      

关键词。“独立”,“顶尖”,“不绝对”

翻译过来是,我们可以独立搞出不是顶尖的光刻机。甚至是顶尖的光刻机


user avatar   lin-xi-63-55-14 网友的相关建议: 
      

根据IC Insights数据分析,2020年CN的半导体自给率为16%,远低于此前2015年时定下2020年自给率40%的目标。

荷兰ASML、日本尼康和佳能三家已完全垄断了全球尖端商用光刻机市场。

以ASML的EUV(极紫外)光刻机为例:

内部的10万个零件,90%的关键设备来自外国而非荷兰本国。ASML作为整机公司,实质上只负责光刻机设计与各模块集成,他们需要全而精的上游产业链作为支撑。ASML在全球有5000多家供应商

ASML可以利用全球现有的产业链,有各领域最顶尖的5000多家公司帮助。

而中国想要独立制造出顶尖光刻机,则需要自己解决整个西方世界的技术壁垒,两者的实际难度显然不是一个级别的。

ASML成功的秘诀其实就是国际合作:

ASML背靠荷兰飞利浦,以欧洲精密机床和仪器为基础,以美国技术为核心,与台积电、三星等制造公司紧密合作。

而日本的尼康就因为全部坚持自产自研,在高度分工的国际体系下失败了。

就连强如美国,上世纪组建所谓「半导体联盟」尝试完全自研光刻机,最终也败给了供应商更多元化的欧洲产业链。

制造尖端光刻机最好是依靠国际合作

光刻机所需要的技术分为两大类:

一是光源、镜头、激光器、工作台等在内的组件技术;二是与光刻机配套的光刻胶、光刻气体、光掩膜版等原材料。

全球在该领域造诣最深的都是西方公司。

前期研发阶段动辄投入数百亿美元的成本过于高昂,而且失败的可能性极高。

这还需要大量相关领域的顶尖人才,目前只有欧洲美国的底蕴能满足这点。

光刻机是商业化领域,如果不能打开市场实现销路,就无法通过客户反馈进行后续的升级,下一代技术也就无从谈起。

拿光刻机跟核武器对比明显不对

核武器不需要考虑商业成本和批量化生产的盈利问题,更不用每年技术迭代。

目前CN光刻机制程目前突破了90纳米。

这是在完全自主、不依靠外国技术环境下暂时能达到的极限,短期(10年)内基本不用考虑尖端制程领域和西方竞争。

荷兰最近几年确实不用担心这个问题。


user avatar   cheng-feng-po-lang-de-ye-ye 网友的相关建议: 
      

ASML其实就是个纯粹的商业公司。真没必要给它打上政治光谱。

最起码这几年已经有中国公司进入了ASML的供应链,而且我认为未来会越来越多。

至于他这句话也没错。因为他这句话的重点是“不太可能”、“顶尖”、“不那么绝对”。

总之国人在光刻机领域的看法。不要自高自大,也没必要妄自菲薄。吵架更意义。这玩意给时间肯定是能造出来,要跑到跟对方差不多的水平,至少十年起步。但是你说造不出来,那也是扯了。

而且我一直以来有个观点。最近这十年尤其是智能手机的崛起,芯片业已经把过往积累所有的技术都透支了,未来可能会越来越慢。

人类科技真的是有瓶颈的。


user avatar   person1024 网友的相关建议: 
      

能够做出成绩的都是政审不通过的,或者领导不放心的,或者领导怀疑会被夺权的。

你能怎么办)


user avatar   li-hua-64-89 网友的相关建议: 
      

前京东方曝光科设备工艺工程师回答一下,虽然面板属于泛半导体行业,但对光刻也有一定了解,简单说下个人的看法。

出货量

目前,全球光刻机是由荷兰ASML、日本尼康和佳能公司完全垄断。据芯思想研究院(ChipInsights)数据,2020年全球集成电路、面板、LED用光刻机出货约583台,较2019年增加3台。其中集成电路制造用光刻机出货约413台;面板、LED用光刻机出货约170台。在集成电路光刻机方面,ASML公司出货258台,占比62.47%;尼康公司出货33台,占比7.99%;佳能公司出货122台,占比29.54%。

上海微电子装备(集团)股份有限公司光刻机主要用于广泛应用于集成电路前道、先进封装、FPD、MEMS、LED、功率器件等制造领域,2020年出货预估在60+台,较2019年增加约10台,主要集中在先进封装、LED方面,在FPD领域也有出货。

德国SUSS光刻机主要用于半导体集成电路先进封装、MEMS、LED,2020年光刻机收入约7.8亿元人民币,较2019年成长10%,预估销售台数在60台以内。

2020年VEECO公司来自先进封装、MEMS和LED用光刻机的营收约为4亿元人民币,较2019年成长30%。预估销售台数在30台以内。

EVG公司的光刻设备主要应用于先进封装、面板等行业,预估销售台数在10台以内。

以上,我们得到一个结论:集成电路领域用光刻机基本被ASML、Nikon、Canon占有,上海微目前只是在先进封装、FPD、MEMS、LED等领域有一定市场份额。

技术水平

ASML

在10纳米节点以下,ASML稳稳占据100%的市场,佳能和尼康等同业竞争对手已无力追赶。如果芯片制造商想要生产10纳米节点以下的芯片,必须得有ASML供应的EUV光刻机及相应的支持服务。

欧洲微电子研究中心(IMEC)宣布了3纳米及以下光刻工艺的技术细节,并表明ASML公司已经明确了3纳米、2纳米、1.5纳米、1纳米甚至1纳米以下的芯片制程技术路线图。而日本、美国等国的许多半导体公司出于成本考虑,已经停止了光刻工艺小型化的研究。IMEC和ASML的合作或将进一步推动超精细芯片制程的研发,延续“摩尔定律”。

最近,ASML爆出其新一代高数值孔径光刻机将于2025年首批供应给Intel,价格将达到3亿美金,比现在最高端的EUV贵一倍。

按照业内预判,2025年前后半导体在微缩层面将进入埃米尺度(Å,angstrom,1埃=0.1纳米),其中2025对应A14(14Å=1.4纳米)。除了新晶体管结构、2D材料,还有很关键的一环就是High NA(高数值孔径)EUV光刻机。根据ASML(阿斯麦)透露的最新信息,第一台原型试做机2023年开放,预计由imec(比利时微电子研究中心)装机,2025年后量产,第一台预计交付Intel。

Canon&Nikon

针对7纳米米以下节点,ASML的重点是EUV,同时也向客户出售ArF浸没系统,ArF浸没系统 可与多种曝光工艺配合使用,将DUV光刻技术扩展到7纳米以下;而Nikon只推ArF浸没系 统。

Canon押注纳米压印(Nanoimprint Lithography,NIL),该技术来源于佳能2014年收购的 Molecular Imprints。 最新的纳米压印(NIL)的参数指标不错,套刻精度为2.4nm/3.2nm,每小时可曝光超过100 片晶圆。

据悉,纳米压印(NIL)已经达到3D NAND的要求,日本3D NAND大厂铠侠(Kioxia,原东 芝存储部门)已经开始96层3D NAND中使用此技术。在3D NAND之外 也可以满足1Anm DRAM的生产需求。

SMEE

2018年90nm光刻机通过国家验收,20-21年已经交付使用,至于是否通过客户验收目前尚不清楚。

2020年6月,上海微电子设备有限公司透露将在2021—2022年交付首台国产28纳米工艺浸没式光刻机。这意味着国产光刻机工艺从以前的90纳米一举突破到28纳米。经过技术改进,还可以制造出14nm的芯片。

从官网上看,最新的曝光机是先进封装用。截止到22年1月16日,尚未从官网查到28nm光刻机研发成功的消息。

至于像其他的芯碁微装直写光刻机有空再写写,谢谢。




           

相关话题

  各位医生和科研工作者穿着白大褂如何上厕所的? 
  俄乌谈判乌方一代表被指「叛国」遭乌安全局枪杀,对此你有哪些评价? 
  为什么部分人会认为印度是个奇葩国家? 
  市场监管总局等七部门出台《意见》保障外卖送餐员权益,有哪些值得关注的信息?会给行业带来哪些改变和影响? 
  乌克兰成立最高统帅部,成员包括多名高官,同时俄军已进逼基辅,乌方已开始武装基辅平民,局势将会如何发展? 
  中国为什么拒绝加入中美俄三边军控谈判?美国抛出三边军控谈判倡议有何用意? 
  如何看待三只松鼠前高管因盗卖公司价值 68 万废纸箱,收受和索要宝马获刑? 
  网传南京一猪企遭遇经营困境,却收到大量「降薪请愿书」,有员工愿主动降薪与公司共度困难,如何看待此现象? 
  安徽一学校发现弃婴被从楼上摔下,刑警队表示遗弃者系学生,具体情况如何?遗弃者需承担怎样的法律责任? 
  辽宁一男子在德芙巧克力中吃出活虫,德芙回应称「或因仓储、物流过程中遭蚊虫叮咬」,事件将会如何进展? 

前一个讨论
成吉思汗是中国人吗?
下一个讨论
2021 年中国人均 GDP 首超世界人均水平,这意味着什么?





© 2024-05-17 - tinynew.org. All Rights Reserved.
© 2024-05-17 - tinynew.org. 保留所有权利